Bonan Yan

Orcid: 0000-0002-3052-9330

According to our database1, Bonan Yan authored at least 40 papers between 2015 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
DDC-PIM: Efficient Algorithm/Architecture Co-Design for Doubling Data Capacity of SRAM-Based Processing-in-Memory.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2024

Probabilistic Compute-in-Memory Design for Efficient Markov Chain Monte Carlo Sampling.
IEEE Trans. Circuits Syst. I Regul. Pap., February, 2024

AttentionLego: An Open-Source Building Block For Spatially-Scalable Large Language Model Accelerator With Processing-In-Memory Technology.
CoRR, 2024

2023
Memristive dynamics enabled neuromorphic computing systems.
Sci. China Inf. Sci., October, 2023

Hadamard product-based in-memory computing design for floating point neural network training.
Neuromorph. Comput. Eng., March, 2023

Fast and reconfigurable sort-in-memory system enabled by memristors.
CoRR, 2023

SRAM-Based Processing-In-Memory Design with Kullback-Leibler Divergence-Based Dynamic Precision Quantization.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023

Accelerating Neural-ODE Inference on FPGAs with Two-Stage Structured Pruning and History-based Stepsize Search.
Proceedings of the 2023 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2023

STAR: An Efficient Softmax Engine for Attention Model with RRAM Crossbar.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Live Demonstration: SRAM Compute-In-Memory Based Visual & Aural Recognition System.
Proceedings of the 5th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2023

2022
VSDCA: A Voltage Sensing Differential Column Architecture Based on 1T2R RRAM Array for Computing-in-Memory Accelerators.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Memristive devices based hardware for unlabeled data processing.
Neuromorph. Comput. Eng., 2022

A 1.041-Mb/mm<sup>2</sup> 27.38-TOPS/W Signed-INT8 Dynamic-Logic-Based ADC-less SRAM Compute-in-Memory Macro in 28nm with Reconfigurable Bitwise Operation for AI and Embedded Applications.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

ASTERS: adaptable threshold spike-timing neuromorphic design with twin-column ReRAM synapses.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Heterogeneous Memory Architecture Accommodating Processing-in-Memory on SoC for AIoT Applications.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2020
Highly Efficient Neuromorphic Computing Systems With Emerging Nonvolatile Memories.
PhD thesis, 2020

ReTransformer: ReRAM-based Processing-in-Memory Architecture for Transformer Acceleration.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Lattice: An ADC/DAC-less ReRAM-based Processing-In-Memory Architecture for Accelerating Deep Convolution Neural Networks.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

ReSiPE: ReRAM-based Single-Spiking Processing-In-Memory Engine.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Resistive Memory-Based In-Memory Computing: From Device and Large-Scale Integration System Perspectives.
Adv. Intell. Syst., 2019

Hardware Fault Tolerance for Binary RRAM Crossbars.
Proceedings of the IEEE International Test Conference, 2019

An Overview of In-memory Processing with Emerging Non-volatile Memory for Data-intensive Applications.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

Build reliable and efficient neuromorphic design with memristor technology.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

2018
Challenges of memristor based neuromorphic computing system.
Sci. China Inf. Sci., 2018

Exploring the opportunity of implementing neuromorphic computing systems with spintronic devices.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

A neuromorphic design using chaotic mott memristor with relaxation oscillation.
Proceedings of the 55th Annual Design Automation Conference, 2018

2017
Persistent and Nonpersistent Error Optimization for STT-RAM Cell Design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Giant Spin-Hall assisted STT-RAM and logic design.
Integr., 2017

A closed-loop design to enhance weight stability of memristor based neural network chips.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

Low-power neuromorphic speech recognition engine with coarse-grain sparsity.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
Spin-Hall Assisted STT-RAM Design and Discussion.
Proceedings of the 18th System Level Interconnect Prediction Workshop, 2016

A Memristor Crossbar Based Computing Engine Optimized for High Speed and Accuracy.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

A neuromorphic ASIC design using one-selector-one-memristor crossbar.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

2015
An overview on memristor crossabr based neuromorphic circuit and architecture.
Proceedings of the 2015 IFIP/IEEE International Conference on Very Large Scale Integration, 2015

A new self-reference sensing scheme for TLC MRAM.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

A High-Speed Robust NVM-TCAM Design Using Body Bias Feedback.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

Giant spin hall effect (GSHE) logic design for low power application.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

A spiking neuromorphic design with resistive crossbar.
Proceedings of the 52nd Annual Design Automation Conference, 2015

Spiking-based matrix computation by leveraging memristor crossbar array.
Proceedings of the 2015 IEEE Symposium on Computational Intelligence for Security and Defense Applications, 2015

Recent progresses of STT memory design and applications.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015


  Loading...