Naveen Verma

Orcid: 0000-0002-8208-5030

According to our database1, Naveen Verma authored at least 129 papers between 2005 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Training Neural Networks With In-Memory-Computing Hardware and Multi-Level Radix-4 Inputs.
IEEE Trans. Circuits Syst. I Regul. Pap., April, 2024

Piezoelectric Soft Robot Inchworm Motion by Tuning Ground Friction Through Robot Shape: Quasi-Static Modeling and Experimental Validation.
IEEE Trans. Robotics, 2024

2023
Reliable measurement using unreliable binary comparisons.
Signal Process., 2023

eViper: A Scalable Platform for Untethered Modular Soft Robots.
IROS, 2023

Wirelessly-Controlled Untethered Piezoelectric Planar Soft Robot Capable of Bidirectional Crawling and Rotation.
Proceedings of the IEEE International Conference on Robotics and Automation, 2023

Compute SNR-boosted 22 nm MRAM-based In-memory Computing Macro using Statistical Error Compensation.
Proceedings of the 49th IEEE European Solid State Circuits Conference, 2023

A 256-kb Fully Row/Column-parallel 22nm MRAM In-Memory-Computing Macro with Differential Readout for Robust Parallelization and Scale-up.
Proceedings of the 49th IEEE European Solid State Circuits Conference, 2023

$f_{MAX}$ Exceeding 3 GHz in Self-Aligned Zinc-Oxide Thin-Film Transistors with Micron-Scale Gate Length.
Proceedings of the Device Research Conference, 2023

2022
Convolutional Autoencoder-Based Transfer Learning for Multi-Task Image Inferences.
IEEE Trans. Emerg. Top. Comput., 2022

Neural Network Training on In-Memory-Computing Hardware With Radix-4 Gradients.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Scalable and Programmable Neural Network Inference Accelerator Based on In-Memory Computing.
IEEE J. Solid State Circuits, 2022

A 22nm 128-kb MRAM Row/Column-Parallel In-Memory Computing Macro with Memory-Resistance Boosting and Multi-Column ADC Readout.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

Model-Based Control of Planar Piezoelectric Inchworm Soft Robot for Crawling in Constrained Environments.
Proceedings of the 5th IEEE International Conference on Soft Robotics, 2022

Scalable Simulation and Demonstration of Jumping Piezoelectric 2-D Soft Robots.
Proceedings of the 2022 International Conference on Robotics and Automation, 2022

Statistical computing framework and demonstration for in-memory computing systems.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
Neural Network Training With Stochastic Hardware Models and Software Abstractions.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Piezoelectric Soft Robot Inchworm Motion by Controlling Ground Friction through Robot Shape.
CoRR, 2021

REITS: Reflective Surface for Intelligent Transportation Systems.
Proceedings of the HotMobile '21: The 22nd International Workshop on Mobile Computing Systems and Applications, 2021

Fully Row/Column-Parallel In-memory Computing SRAM Macro employing Capacitor-based Mixed-signal Computation with 5-b Inputs.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

Session 19 Overview: Optical Systems for Emerging Applications Technology Directions Subcommittee.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

A Programmable Neural-Network Inference Accelerator Based on Scalable In-Memory Computing.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

A Maximally Row-Parallel MRAM In-Memory-Computing Macro Addressing Readout Circuit Sensitivity and Area.
Proceedings of the 51st IEEE European Solid-State Device Research Conference, 2021

2020
Reducing Energy of Approximate Feature Extraction in Heterogeneous Architectures for Sensor Inference via Energy-Aware Genetic Programming.
IEEE Trans. Circuits Syst. I Fundam. Theory Appl., 2020

Large-Area Resistive Strain Sensing Sheet for Structural Health Monitoring.
Sensors, 2020

A Programmable Heterogeneous Microprocessor Based on Bit-Scalable In-Memory Computing.
IEEE J. Solid State Circuits, 2020

Nanotechnology-inspired Information Processing Systems of the Future.
CoRR, 2020

2019
Scaling Up In-Memory-Computing Classifiers via Boosted Feature Subsets in Banked Architectures.
IEEE Trans. Circuits Syst. II Express Briefs, 2019

Hybrid LAE-CMOS Force-Sensing System Employing TFT-Based Compressed Sensing for Scalability of Tactile Sensing Skins.
IEEE Trans. Biomed. Circuits Syst., 2019

Shannon-Inspired Statistical Computing for the Nanoscale Era.
Proc. IEEE, 2019

A 64-Tile 2.4-Mb In-Memory-Computing CNN Accelerator Employing Charge-Domain Compute.
IEEE J. Solid State Circuits, 2019

A 3-D IC for Mitigating Energy of Memory Accessing and Data Movement in Accelerator- Based Streaming Architectures.
IEEE J. Solid State Circuits, 2019

Exploiting Emerging Sensing Technologies Toward Structure in Data for Enhancing Perception in Human-Centric Applications.
IEEE Internet Things J., 2019

An In-memory-Computing DNN Achieving 700 TOPS/W and 6 TOPS/mm<sup>2</sup> in 130-nm CMOS.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2019

Adaptively Clock-Boosted Auto-Ranging Responsive Neurostimulator for Emerging Neuromodulation Applications.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

Hybrid System for Efficient LAE-CMOS Interfacing in Large-Scale Tactile-Sensing Skins via TFT-Based Compressed Sensing.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

Stochastic Data-driven Hardware Resilience to Efficiently Train Inference Models for Stochastic Hardware Implementations.
Proceedings of the IEEE International Conference on Acoustics, 2019

A Programmable Embedded Microprocessor for Bit-scalable In-memory Computing.
Proceedings of the 2019 IEEE Hot Chips 31 Symposium (HCS), 2019

Analyzing and Increasing Yield of ZnO Thin-Film Transistors for Large-area Sensing Systems by Preventing Process-Induced Gate Dielectric Breakdown.
Proceedings of the Device Research Conference, 2019

Gigahertz Zinc-Oxide TFT-Based Oscillators.
Proceedings of the Device Research Conference, 2019

2018
Energy-Efficient Pedestrian Detection System: Exploiting Statistical Error Compensation for Lossy Memory Data Compression.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Genetic Programming for Energy-Efficient and Energy-Scalable Approximate Feature Computation in Embedded Inference Systems.
IEEE Trans. Computers, 2018

Strain Transfer for Optimal Performance of Sensing Sheet.
Sensors, 2018

NURIP: Neural Interface Processor for Brain-State Classification and Programmable-Waveform Neurostimulation.
IEEE J. Solid State Circuits, 2018

Exploiting Approximate Feature Extraction via Genetic Programming for Hardware Acceleration in a Heterogeneous Microprocessor.
IEEE J. Solid State Circuits, 2018

An Architecture for Large-Area Sensor Acquisition Using Frequency-Hopping ZnO TFT DCOs.
IEEE J. Solid State Circuits, 2018

A Microprocessor implemented in 65nm CMOS with Configurable and Bit-scalable Accelerator for Programmable In-memory Computing.
CoRR, 2018

A Mixed-Signal Binarized Convolutional-Neural-Network Accelerator Integrating Dense Weight Storage and Multiplication for Reduced Data Movement.
Proceedings of the 2018 IEEE Symposium on VLSI Circuits, 2018

Session 31 overview: Computation in memory for machine learning: Technology directions and memory subcommittees.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

A recursive-memory brain-state classifier with 32-channel track-and-zoom Δ<sup>2</sup> Σ ADCs and Charge-Balanced Programmable Waveform Neurostimulators.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

Artificial intelligence meets large-scale sensing: Using Large-Area Electronics (LAE) to enable intelligent spaces.
Proceedings of the 2018 IEEE Custom Integrated Circuits Conference, 2018

2017
A Low-Energy Machine-Learning Classifier Based on Clocked Comparators for Direct Inference on Analog Sensors.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

In-Memory Computation of a Machine-Learning Classifier in a Standard 6T SRAM Array.
IEEE J. Solid State Circuits, 2017

An EEG Acquisition and Biomarker-Extraction System Using Low-Noise-Amplifier and Compressive-Sensing Circuits Based on Flexible, Thin-Film Electronics.
IEEE J. Solid State Circuits, 2017

Editorial for JETC Special Issue on Alternative Computing Systems.
ACM J. Emerg. Technol. Comput. Syst., 2017

Large-Area Electronics: A Platform for Next-Generation Human- Computer Interfaces.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2017

Covering our world with sensors.
Proceedings of the 7th IEEE International Workshop on Advances in Sensors and Interfaces, 2017

15.1 Large-scale acquisition of large-area sensors using an array of frequency-hopping ZnO thin-film-transistor oscillators.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

A 10-b statistical ADC employing pipelining and sub-ranging in 32nm CMOS.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Information-processing-driven interfaces in hybrid large-area electronics systems.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Compressive information acquisition with hardware impairments and constraints: A case study.
Proceedings of the 2017 IEEE International Conference on Acoustics, 2017

2016
A Thin-Film, Large-Area Sensing and Compression System for Image Detection.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

Compressed Signal Processing on Nyquist-Sampled Signals.
IEEE Trans. Computers, 2016

Reducing Quantization Errors for Inner-Product Operations in Embedded Digital Signal Processing Systems [Tips&Tricks].
IEEE Signal Process. Mag., 2016

Strain Sensing Sheets for Structural Health Monitoring Based on Large-Area Electronics and Integrated Circuits.
Proc. IEEE, 2016

Large-Area Microphone Array for Audio Source Separation Based on a Hybrid Architecture Exploiting Thin-Film Electronics and CMOS.
IEEE J. Solid State Circuits, 2016

A Large-Area Image Sensing and Detection System Based on Embedded Thin-Film Classifiers.
IEEE J. Solid State Circuits, 2016

A machine-learning classifier implemented in a standard 6T SRAM array.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

Hybrid large-area systems and their interconnection backbone (invited paper).
Proceedings of the Tenth IEEE/ACM International Symposium on Networks-on-Chip, 2016

16.4 A flexible EEG acquisition and biomarker extraction system based on thin-film electronics.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

Hybrid large-area systems: Challenges in interfacing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Robust blind source separation in a reverberant room based on beamforming with a large-aperture microphone array.
Proceedings of the 2016 IEEE International Conference on Acoustics, 2016

2015
Hardware Specialization in Low-power Sensing Applications to Address Energy and Resilience.
J. Signal Process. Syst., 2015

Overcoming Computational Errors in Sensing Platforms Through Embedded Machine-Learning Kernels.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Signal Processing With Direct Computations on Compressively Sensed Data.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Error Adaptive Classifier Boosting (EACB): Leveraging Data-Driven Training Towards Hardware Resilience for Signal Inference.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

Statistical ADC Enhanced by Pipelining and Subranging.
IEEE Trans. Circuits Syst. II Express Briefs, 2015

Realizing Low-Energy Classification Systems by Implementing Matrix Multiplication Directly Within an ADC.
IEEE Trans. Biomed. Circuits Syst., 2015

Enabling Scalable Hybrid Systems: Architectures for Exploiting Large-Area Electronics in Applications.
Proc. IEEE, 2015

A System Based on Capacitive Interfacing of CMOS With Post-Processed Thin-Film MEMS Resonators Employing Synchronous Readout for Parasitic Nulling.
IEEE J. Solid State Circuits, 2015

Circuits evening panel discussion 2: Wearable electronics: Still an oasis or just a mirage for the semiconductor industry?
Proceedings of the Symposium on VLSI Circuits, 2015

Reconstruction of multiple-user voice commands using a hybrid system based on thin-film electronics and CMOS.
Proceedings of the Symposium on VLSI Circuits, 2015

18.4 A matrix-multiplying ADC implementing a machine-learning classifier directly with data conversion.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

16.2 A large-area image sensing and detection system based on embedded thin-film classifiers.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

Reducing quantization error in low-energy FIR filter accelerators.
Proceedings of the 2015 IEEE International Conference on Acoustics, 2015

A seizure-detection IC employing machine learning to overcome data-conversion and analog-processing non-idealities.
Proceedings of the 2015 IEEE Custom Integrated Circuits Conference, 2015

A look at signal analysis in resource-constrained medical-sensor applications.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2015

2014
Recent Advances in Design and Implementation of Signal Processing Systems.
J. Signal Process. Syst., 2014

A 0.6-107 µW Energy-Scalable Processor for Directly Analyzing Compressively-Sensed EEG.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

Large-Scale Sensing System Combining Large-Area Electronics and CMOS ICs for Structural-Health Monitoring.
IEEE J. Solid State Circuits, 2014

A Self-Powered System for Large-Scale Strain Sensing by Combining CMOS ICs With Large-Area Electronics.
IEEE J. Solid State Circuits, 2014

An ASIC for readout of post-processed thin-film MEMS resonators by employing capacitive interfacing and active parasitic cancellation.
Proceedings of the Symposium on VLSI Circuits, 2014

A low complexity estimation architecture based on noisy comparators.
Proceedings of the 2014 IEEE Workshop on Signal Processing Systems, 2014

12.2 3D gesture-sensing system for interactive displays based on extended-range capacitive sensing.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

Error-adaptive classifier boosting (EACB): Exploiting data-driven training for highly fault-tolerant hardware.
Proceedings of the IEEE International Conference on Acoustics, 2014

3D multi-gesture sensing system for large areas based on pixel self-capacitance readout using TFT scanning and frequency-conversion circuits.
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, 2014

Enabling hardware relaxations through statistical learning.
Proceedings of the 52nd Annual Allerton Conference on Communication, 2014

2013
Algorithm-Driven Architectural Design Space Exploration of Domain-Specific Medical-Sensor Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2013

A Low-Power Processor With Configurable Embedded Machine-Learning Accelerators for High-Order and Adaptive Analysis of Medical-Sensor Signals.
IEEE J. Solid State Circuits, 2013

Hardware specialization of machine-learning kernels: Possibilities for applications and possibilities for the platform design space (Invited).
Proceedings of the IEEE Workshop on Signal Processing Systems, 2013

A super-regenerative radio on plastic based on thin-film transistors and antennas on large flexible sheets for distributed communication links.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

2012
Low-energy Formulations of Support Vector Machine Kernel Functions for Biomedical Sensor Applications.
J. Signal Process. Syst., 2012

Guest Editorial Emerging Circuits and Systems Techniques for Ultra-Low Power Body Sensor Networks.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2012

Integrated all-silicon thin-film power electronics on flexible sheets for ubiquitous wireless charging stations based on solar-energy harvesting.
Proceedings of the Symposium on VLSI Circuits, 2012

High-resolution sensing sheet for structural-health monitoring via scalable interfacing of flexible electronics with high-performance ICs.
Proceedings of the Symposium on VLSI Circuits, 2012

Enabling system-level platform resilience through embedded data-driven inference capabilities in electronic devices.
Proceedings of the 2012 IEEE International Conference on Acoustics, 2012

A 1.2-0.55V general-purpose biomedical processor with configurable machine-learning accelerators for high-order, patient-adaptive monitoring.
Proceedings of the 38th European Solid-State Circuit conference, 2012

Enabling advanced inference on sensor nodes through direct use of compressively-sensed signals.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

A compressed-domain processor for seizure detection to simultaneously reduce computation and communication energy.
Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, 2012

Flexible solar-energy harvesting system on plastic with thin-film LC oscillators operating above ft for inductively-coupled power delivery.
Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, 2012

2011
Analysis Towards Minimization of Total SRAM Energy Over Active and Idle Operating Modes.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Improving kernel-energy trade-offs for machine learning in implantable and wearable biomedical applications.
Proceedings of the IEEE International Conference on Acoustics, 2011

A data-driven modeling approach to stochastic computation for low-energy biomedical devices.
Proceedings of the 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2011

Scalable customization of atrial fibrillation detection in cardiac monitoring devices: Increasing detection accuracy through personalized monitoring in large patient populations.
Proceedings of the 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2011

A low-energy computation platform for data-driven biomedical monitoring algorithms.
Proceedings of the 48th Design Automation Conference, 2011

2010
Technologies for Ultradynamic Voltage Scaling.
Proc. IEEE, 2010

A Micro-Power EEG Acquisition SoC With Integrated Feature Extraction Processor for a Chronic Seizure Detection System.
IEEE J. Solid State Circuits, 2010

2009
Ultra-low-power SRAM design in high variability advanced CMOS.
PhD thesis, 2009

A High-Density 45 nm SRAM Using Small-Signal Non-Strobed Regenerative Sensing.
IEEE J. Solid State Circuits, 2009

A Reconfigurable 8T Ultra-Dynamic Voltage Scalable (U-DVS) SRAM in 65 nm CMOS.
IEEE J. Solid State Circuits, 2009

A 65 nm Sub-V<sub>t</sub> Microcontroller With Integrated SRAM and Switched Capacitor DC-DC Converter.
IEEE J. Solid State Circuits, 2009

2008
A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy.
IEEE J. Solid State Circuits, 2008

A High-Density 45nm SRAM Using Small-Signal Non-Strobed Regenerative Sensing.
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

A 65nm Sub-Vt Microcontroller with Integrated SRAM and Switched-Capacitor DC-DC Converter.
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

A reconfigurable 65nm SRAM achieving voltage scalability from 0.25-1.2V and performance scalability from 20kHz-200MHz.
Proceedings of the ESSCIRC 2008, 2008

2007
An Ultra Low Energy 12-bit Rate-Resolution Scalable SAR ADC for Wireless Sensor Nodes.
IEEE J. Solid State Circuits, 2007

A 65nm 8T Sub-Vt SRAM Employing Sense-Amplifier Redundancy.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

2006
A 25µW 100kS/s 12b ADC for wireless micro-sensor applications.
Proceedings of the 2006 IEEE International Solid State Circuits Conference, 2006

Sub-threshold design: the challenges of minimizing circuit energy.
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006

2005
Design Considerations for Ultra-Low Energy Wireless Microsensor Nodes.
IEEE Trans. Computers, 2005


  Loading...