Elaheh Bozorgzadeh

Affiliations:
  • University of California, Irvine, USA


According to our database1, Elaheh Bozorgzadeh authored at least 91 papers between 2000 and 2022.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2022
On Exploiting Patterns For Robust FPGA-based Multi-accelerator Edge Computing Systems.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2020
Dynamic Sharing in Multi-accelerators of Neural Networks on an FPGA Edge Device.
Proceedings of the 31st IEEE International Conference on Application-specific Systems, 2020

2019
UltraShare: FPGA-based Dynamic Accelerator Sharing and Allocation.
Proceedings of the 2019 International Conference on ReConFigurable Computing and FPGAs, 2019

AFFIX: Automatic Acceleration Framework for FPGA Implementation of OpenVX Vision Algorithms.
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019

Communication-Computation co-Design of Decentralized Task Chain in CPS Applications.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
System Services for Reconfigurable Hardware Acceleration in Mobile Devices.
Proceedings of the 2018 International Conference on ReConFigurable Computing and FPGAs, 2018

Scalable Multi-Queue Data Transfer Scheme for FPGA-Based Multi-Accelerators.
Proceedings of the 36th IEEE International Conference on Computer Design, 2018

Resource-Aware Decentralization of a UKF-Based Cooperative Localization for Networked Mobile Robots.
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018

2017
Exploiting Heterogeneity for Aging-Aware Load Balancing in Mobile Platforms.
IEEE Trans. Multi Scale Comput. Syst., 2017

SENSIBle: A Highly Scalable SENsor DeSIgn for Path-Based Age Monitoring in FPGAs.
IEEE Trans. Computers, 2017

2016
Data-rate-aware FPGA-based acceleration framework for streaming applications.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2016

Harvesting-aware adaptive energy management in solar-powered embedded systems.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

Path selection and sensor insertion flow for age monitoring in FPGAs.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Aging-aware high-level physical planning for reconfigurable systems.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
Modeling and control battery aging in energy harvesting systems.
Proceedings of the 2015 IEEE International Conference on Smart Grid Communications, 2015

Orchestrated application quality and energy storage management in solar-powered embedded systems.
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015

A Unified Stochastic Model for Energy Management in Solar-Powered Embedded Systems.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Reconfiguration-Aware Task Graph Scheduling.
Proceedings of the 13th IEEE International Conference on Embedded and Ubiquitous Computing, 2015

Multi-level QoS Support with Variable Window Size in Weakly Hard Real-Time Systems.
Proceedings of the 2015 IEEE 3rd International Conference on Cyber-Physical Systems, 2015

2014
Distributed flow optimization control for energy-harvesting wireless sensor networks.
Proceedings of the IEEE International Conference on Communications, 2014

2013
Transition-aware task scheduling and configuration selection in reconfigurable embedded systems.
SIGBED Rev., 2013

Adapting data quality with multihop routing for energy harvesting wireless sensor networks.
Proceedings of the International Green Computing Conference, 2013

2012
QuARES: A quality-aware renewable energy-driven sensing framework.
Sustain. Comput. Informatics Syst., 2012

Hardware-Assisted Detection of Malicious Software in Embedded Systems.
IEEE Embed. Syst. Lett., 2012

Energy Harvesting for Sustainable Smart Spaces.
Adv. Comput., 2012

Energy Budget Management for Energy Harvesting Embedded Systems.
Proceedings of the 2012 IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2012

Adaptable intrusion detection using partial runtime reconfiguration.
Proceedings of the 30th International IEEE Conference on Computer Design, 2012

Minimization of Trojan footprint by reducing Delay/Area impact.
Proceedings of the 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2012

2011
SEU-Aware High-Level Data Path Synthesis and Layout Generation on SRAM-Based FPGAs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

On leakage power optimization in clock tree networks for ASICs and general-purpose processors.
Sustain. Comput. Informatics Syst., 2011

Selected Papers from the 17th Reconfigurable Architectures Workshop (RAW2010).
Int. J. Reconfigurable Comput., 2011

Process variation aware system-level load assignment for total energy minimization using stochastic ordering.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

QuARES: Quality-aware data collection in energy harvesting sensor networks.
Proceedings of the 2011 International Green Computing Conference and Workshops, 2011

Reliability-aware placement in SRAM-based FPGA for voltage scaling realization in the presence of process variations.
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011

Reconfiguration-aware real-time scheduling under QoS constraint.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

2010
Bandwidth Management in Application Mapping for Dynamically Reconfigurable Architectures.
ACM Trans. Reconfigurable Technol. Syst., 2010

Post-synthesis sleep transistor insertion for leakage power optimization in clock tree networks.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

Exploiting power budgeting in thermal-aware dynamic placement for reconfigurable systems.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

Reconfiguration-aware spectrum sharing for FPGA based software defined radio.
Proceedings of the 24th IEEE International Symposium on Parallel and Distributed Processing, 2010

Welcome message.
Proceedings of the 24th IEEE International Symposium on Parallel and Distributed Processing, 2010

Unified theory of real-time task scheduling and dynamic voltage/frequency Scaling on MPSoCs.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

Transition-aware real-time task scheduling for reconfigurable embedded systems.
Proceedings of the Design, Automation and Test in Europe, 2010

2009
Exploiting Application Data-Parallelism on Dynamically Reconfigurable Architectures: Placement and Architectural Considerations.
IEEE Trans. Very Large Scale Integr. Syst., 2009

SEU-aware resource binding for modular redundancy based designs on FPGAs.
Proceedings of the Design, Automation and Test in Europe, 2009

2008
Speculative Loop-Pipelining in Binary Translation for Hardware Acceleration.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Seamless sequence of software defined radio designs through hardware reconfigurability of FPGAs.
Proceedings of the 26th International Conference on Computer Design, 2008

Process variation aware system-level task allocation using stochastic ordering of delay distributions.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

Yield maximization for system-level task assignment and configuration selection of configurable multiprocessors.
Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, 2008

Statistical power profile correlation for realistic thermal estimation.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
Interconnect Criticality-Driven Delay Relaxation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Multi-layer floorplanning for reconfigurable designs.
IET Comput. Digit. Tech., 2007

Energy-aware co-processor selection for embedded processors on FPGAs.
Proceedings of the 25th International Conference on Computer Design, 2007

Novel Multi-Layer floorplanning for Heterogeneous FPGAs.
Proceedings of the FPL 2007, 2007

Heterogeneous Floorplanner for FPGA.
Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, 2007

Single-Event-Upset (SEU) Awareness in FPGA Routing.
Proceedings of the 44th Design Automation Conference, 2007

Selective Band width and Resource Management in Scheduling for Dynamically Reconfigurable Architectures.
Proceedings of the 44th Design Automation Conference, 2007

2006
Efficient Timing Budget Management for Accuracy Improvement in a Collaborative Object Tracking System.
J. VLSI Signal Process., 2006

FABSYN: floorplan-aware bus architecture synthesis.
IEEE Trans. Very Large Scale Integr. Syst., 2006

Integrating Physical Constraints in HW-SW Partitioning for Architectures With Partial Dynamic Reconfiguration.
IEEE Trans. Very Large Scale Integr. Syst., 2006

Statistical Analysis and Design of HARP FPGAs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

A Unified Theory of Timing Budget Management.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Physically-aware exploitation of component reuse in a partially reconfigurable architecture.
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006

Minimizing peak power for application chains on architectures with partial dynamic reconfiguration.
Proceedings of the 2006 IEEE International Conference on Field Programmable Technology, 2006

Multi-layer Floorplanning on a Sequence of Reconfigurable Designs.
Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), 2006

CAD Tool for FPGAs with Embedded Hard Cores for Design Space Exploration of Future Architectures.
Proceedings of the 14th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2006), 2006

PARLGRAN: parallelism granularity selection for scheduling task chains on dynamically reconfigurable architectures.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
A scheduling algorithm for optimization and early planning in high-level synthesis.
ACM Trans. Design Autom. Electr. Syst., 2005

Fast timing closure by interconnect criticality driven delay relaxation.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005

HARP: hard-wired routing pattern FPGAs.
Proceedings of the ACM/SIGDA 13th International Symposium on Field Programmable Gate Arrays, 2005

Considering Run-Time Reconfiguration Overhead in Task Graph Transformations for Dynamically Reconfigurable Architectures.
Proceedings of the 13th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2005), 2005

Floorplan-aware automated synthesis of bus-based communication architectures.
Proceedings of the 42nd Design Automation Conference, 2005

Physically-aware HW-SW partitioning for reconfigurable architectures with partial dynamic reconfiguration.
Proceedings of the 42nd Design Automation Conference, 2005

2004
Optimal integer delay-budget assignment on directed acyclic graphs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Routability-Driven Packing: Metrics And Algorithms For Cluster-Based FPGAs.
J. Circuits Syst. Comput., 2004

A unified theory of timing budget management.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

Incremental Timing Budget Management in Programmable Systems.
Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, 2004

2003
Creating and exploiting flexibility in rectilinear Steiner trees.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003

On computation and resource management in an FPGA-based computation environment.
Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2003

Customized regular channel design in FPGAs.
Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2003

Optimal integer delay budgeting on directed acyclic graphs.
Proceedings of the 40th Design Automation Conference, 2003

2002
Instruction generation for hybrid reconfigurable systems.
ACM Trans. Design Autom. Electr. Syst., 2002

Pattern routing: use and theory for increasing predictability andavoiding coupling.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002

Budget Management with Applications.
Algorithmica, 2002

2001
Wirelength estimation based on rent exponents of partitioning and placement.
Proceedings of the Third IEEE/ACM International Workshop on System-Level Interconnect Prediction (SLIP 2001), March 31, 2001

Design and analysis of physical design algorithms.
Proceedings of the 2001 International Symposium on Physical Design, 2001

An exact algorithm for coupling-free routing.
Proceedings of the 2001 International Symposium on Physical Design, 2001

A Super-Scheduler for Embedded Reconfigurable Systems.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001

Instruction Generation for Hybrid Reconfigurable Systems.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001

Creating and Exploiting Flexibility in Steiner Trees.
Proceedings of the 38th Design Automation Conference, 2001

RPack: routability-driven packing for cluster-based FPGAs.
Proceedings of ASP-DAC 2001, 2001

2000
Predictable Routing.
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000


  Loading...