Seda Ogrenci Memik

Orcid: 0000-0001-8327-9585

Affiliations:
  • Northwestern University, Department of Electrical and Computer Engineering, Evanston, IL, USA


According to our database1, Seda Ogrenci Memik authored at least 106 papers between 2000 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Beyond PID Controllers: PPO with Neuralized PID Policy for Proton Beam Intensity Control in Mu2e.
CoRR, 2023

ML-based Real-Time Control at the Edge: An Approach Using hls4ml.
CoRR, 2023

A Cryogenic Readout IC with 100 KSPS in-Pixel ADC for Skipper CCD-in-CMOS Sensors.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

2022
Applications and Techniques for Fast Machine Learning in Science.
Frontiers Big Data, 2022

2021
Thermal Management for FPGA Nodes in HPC Systems.
ACM Trans. Design Autom. Electr. Syst., 2021

Applications and Techniques for Fast Machine Learning in Science.
CoRR, 2021

A reconfigurable neural network ASIC for detector front-end data compression at the HL-LHC.
CoRR, 2021

hls4ml: An Open-Source Codesign Workflow to Empower Scientific Low-Power Machine Learning Devices.
CoRR, 2021

2020
A Low-Power, High-Speed Readout for Pixel Detectors Based on an Arbitration Tree.
IEEE Trans. Very Large Scale Integr. Syst., 2020

2019
A Combined Arithmetic-High-Level Synthesis Solution to Deploy Partial Carry-Save Radix-8 Booth Multipliers in Datapaths.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

2018
Machine Learning-Based Temperature Prediction for Runtime Thermal Management Across System Components.
IEEE Trans. Parallel Distributed Syst., 2018

Minimizing Thermal Variation in Heterogeneous HPC Systems with FPGA Nodes.
Proceedings of the 36th IEEE International Conference on Computer Design, 2018

2017
End-to-End Analysis of Integration for Thermocouple-Based Sensors Into 3-D ICs.
IEEE Trans. Very Large Scale Integr. Syst., 2017

A content addressable memory with multi-Vdd scheme for low power tunable operation.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

Cell-to-array thermal-aware analysis of stacked RRAM.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Evaluating irregular memory access on OpenCL FPGA platforms: A case study with XSBench.
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017

Adaptive Thermal Management for 3D ICs with Stacked DRAM Caches.
Proceedings of the 54th Annual Design Automation Conference, 2017

2016
A Partial Carry-Save On-the-Fly Correction Multispeculative Multiplier.
IEEE Trans. Computers, 2016

Lazy Pipelines: Enhancing quality in approximate computing.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

2015
On-chip integration of thermoelectric energy harvesting in 3D ICs.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Minimizing Thermal Variation Across System Components.
Proceedings of the 2015 IEEE International Parallel and Distributed Processing Symposium, 2015

A methodology for power characterization of associative memories.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

User-specific skin temperature-aware DVFS for smartphones.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

b-HiVE: a bit-level history-based error model with value correlation for voltage-scaled integer and floating point units.
Proceedings of the 52nd Annual Design Automation Conference, 2015

Edge importance identification for energy efficient graph processing.
Proceedings of the 2015 IEEE International Conference on Big Data (IEEE BigData 2015), Santa Clara, CA, USA, October 29, 2015

2014
Improving circuit performance with multispeculative additive trees in high-level synthesis.
Microelectron. J., 2014

2013
Theory and Analysis for Optimization of On-Chip Thermoelectric Cooling Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

A fragmentation aware High-Level Synthesis flow for low power heterogenous datapaths.
Integr., 2013

Exploring super-resolution implementations across multiple platforms.
EURASIP J. Adv. Signal Process., 2013

Integrating thermocouple sensors into 3D ICs.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

Exploring the energy efficiency of Multispeculative Adders.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

Multispeculative additive trees in high-level synthesis.
Proceedings of the Design, Automation and Test in Europe, 2013

2012
Multispeculative Addition Applied to Datapath Synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

2011
A Distributed Controller for Managing Speculative Functional Units in High Level Synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

A Comprehensive Tapered buffer optimization algorithm for unified design metrics.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Hardware/software techniques for DRAM thermal management.
Proceedings of the 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), 2011

Power optimization in heterogenous datapaths.
Proceedings of the Design, Automation and Test in Europe, 2011

2010
A Fast Heuristic Algorithm for Multidomain Clock Skew Scheduling.
IEEE Trans. Very Large Scale Integr. Syst., 2010

SACTA: A Self-Adjusting Clock Tree Architecture for Adapting to Thermal-Induced Delay Variation.
IEEE Trans. Very Large Scale Integr. Syst., 2010

An Approach for Adaptive DRAM Temperature and Power Management.
IEEE Trans. Very Large Scale Integr. Syst., 2010

Placement and Floorplanning in Dynamically Reconfigurable FPGAs.
ACM Trans. Reconfigurable Technol. Syst., 2010

A revisit to the primal-dual based clock skew scheduling algorithm.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

An Interior Point Optimization Solver for Real Time Inter-frame Collision Detection: Exploring Resource-Accuracy-Platform Tradeoffs.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010

Optimization of an on-chip active cooling system based on thin-film thermoelectric coolers.
Proceedings of the Design, Automation and Test in Europe, 2010

Inversed Temperature Dependence aware clock skew scheduling for sequential circuits.
Proceedings of the Design, Automation and Test in Europe, 2010

Optimization of the bias current network for accurate on-chip thermal monitoring.
Proceedings of the Design, Automation and Test in Europe, 2010

Using Speculative Functional Units in high level synthesis.
Proceedings of the Design, Automation and Test in Europe, 2010

A framework for optimizing thermoelectric active cooling systems.
Proceedings of the 47th Design Automation Conference, 2010

2009
An ILP Formulation for the Task Graph Scheduling Problem Tailored to Bi-Dimensional Reconfigurable Architectures.
Int. J. Reconfigurable Comput., 2009

FPGA Implementation of the Interior-Point Algorithm with Applications to Collision Detection.
Proceedings of the FCCM 2009, 2009

2008
A high-level clustering algorithm targeting dual V<sub>dd</sub> FPGAs.
ACM Trans. Design Autom. Electr. Syst., 2008

Optimizing Thermal Sensor Allocation for Microprocessors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Presynthesis Area Estimation of Reconfigurable Streaming Accelerators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

EBOARST: An Efficient Edge-Based Obstacle-Avoiding Rectilinear Steiner Tree Construction Algorithm.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Thermal monitoring mechanisms for chip multiprocessors.
ACM Trans. Archit. Code Optim., 2008

A Reconfiguration-Aware Floorplacer for FPGAs.
Proceedings of the ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 2008

An <i>O</i>(<i>n</i>log<i>n</i>) edge-based algorithm for obstacle-avoiding rectilinear steiner tree construction.
Proceedings of the 2008 International Symposium on Physical Design, 2008

Towards an "early neural circuit simulator": A FPGA implementation of processing in the rat whisker system.
Proceedings of the FPL 2008, 2008

Leakage power-aware clock skew scheduling: converting stolen time into leakage power reduction.
Proceedings of the 45th Design Automation Conference, 2008

Automated design of self-adjusting pipelines.
Proceedings of the 45th Design Automation Conference, 2008

A power and temperature aware DRAM architecture.
Proceedings of the 45th Design Automation Conference, 2008

2007
Managing Reconfigurable Resources in Heterogeneous Cores Using Portable Pre-Synthesized Templates.
Proceedings of the International Symposium on System-on-Chip, 2007

A novel SoC design methodology combining adaptive software and reconfigurable hardware.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

Early planning for clock skew scheduling during register binding.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

A self-adjusting clock tree architecture to cope with temperature variations.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

Self-heating-aware optimal wire sizing under Elmore delay model.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2006
An Integrated Approach to Thermal Management in High-Level Synthesis.
IEEE Trans. Very Large Scale Integr. Syst., 2006

Fine-grain thermal profiling and sensor insertion for FPGAs.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Thermal-induced leakage power optimization by redundant resource allocation.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Thermal sensor allocation and placement for reconfigurable systems.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Physical aware frequency selection for dynamic thermal management in multi-core systems.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Combining hardware reconfiguration and adaptive computation for a novel SoC design methodology.
Proceedings of the 2006 IEEE International Conference on Field Programmable Technology, 2006

Pre-Synthesis Area Estimation of Reconfigurable Streaming Accelerators.
Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), 2006

Power Optimization Techniques for SRAM-Based FPGAs.
Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), 2006

Adaptive Metrics for System-Level Functional Partitioning.
Proceedings of the Forum on specification and Design Languages, 2006

Pre-synthesis Queue Size Estimation of Streaming Data Flow Graphs.
Proceedings of the 14th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2006), 2006

A Sensor Distribution Algorithm for FPGAs with Minimal Dynamic Reconfiguration Overhead.
Proceedings of the 2006 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2006

Systematic temperature sensor allocation and placement for microprocessors.
Proceedings of the 43rd Design Automation Conference, 2006

2005
A scheduling algorithm for optimization and early planning in high-level synthesis.
ACM Trans. Design Autom. Electr. Syst., 2005

On effective slack management in postscheduling phase.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

Early Quality Assessment for Low Power Behavioral Synthesis.
J. Low Power Electron., 2005

Peak temperature control and leakage reduction during binding in high level synthesis.
Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005

A low power FPGA routing architecture.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Quick Reconfiguration in Clustered Micro-Sequencer.
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005

Fine-grain leakage optimization in SRAM based FPGAs.
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005

Real-Time Feature Extraction for High Speed Networks.
Proceedings of the 2005 International Conference on Field Programmable Logic and Applications (FPL), 2005

Hierarchical LUT structures for leakage power reduction (abstract only).
Proceedings of the ACM/SIGDA 13th International Symposium on Field Programmable Gate Arrays, 2005

Temperature-aware resource allocation and binding in high-level synthesis.
Proceedings of the 42nd Design Automation Conference, 2005

Evaluation of dual V<sub>DD</sub> fabrics for low power FPGAs.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

Resource sharing in pipelined CDFG synthesis.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
Routability-Driven Packing: Metrics And Algorithms For Cluster-Based FPGAs.
J. Circuits Syst. Comput., 2004

Power-Driven Design Partitioning.
Proceedings of the Field Programmable Logic and Application, 2004

Power Management for FPGAs: Power-Driven Design Partitioning.
Proceedings of the 12th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2004), 2004

2003
Analysis and FPGA Implementation of Image Restoration under Resource Constraints.
IEEE Trans. Computers, 2003

Achieving Design Closure Through Delay Relaxation Parameter.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003

Global resource sharing for synthesis of control data flow graphs on FPGAs.
Proceedings of the 40th Design Automation Conference, 2003

2002
Instruction generation for hybrid reconfigurable systems.
ACM Trans. Design Autom. Electr. Syst., 2002

Early evaluation techniques for low power binding.
Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002

Accelerated SAT-based Scheduling of Control/Data Flow Graphs.
Proceedings of the 20th International Conference on Computer Design (ICCD 2002), 2002

Design and Analysis of a Layer Seven Network Processor Accelerator Using Reconfigurable Logic.
Proceedings of the 10th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2002), 2002

2001
Fast floorplanning for effective prediction and construction.
IEEE Trans. Very Large Scale Integr. Syst., 2001

A Super-Scheduler for Embedded Reconfigurable Systems.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001

Instruction Generation for Hybrid Reconfigurable Systems.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001

Integrating Scheduling and Physical Design into a Coherent Compilation Cycle for Reconfigurable Computing Architectures.
Proceedings of the 38th Design Automation Conference, 2001

RPack: routability-driven packing for cluster-based FPGAs.
Proceedings of ASP-DAC 2001, 2001

2000
A C to Hardware/Software Compiler.
Proceedings of the 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2000), 2000


  Loading...