Li Shang

Orcid: 0000-0003-3944-7531

According to our database1, Li Shang authored at least 244 papers between 2001 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
GNN-Cap: Chip-Scale Interconnect Capacitance Extraction Using Graph Neural Network.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., April, 2024

Frequency-aware Graph Signal Processing for Collaborative Filtering.
CoRR, 2024

Neural Kalman Filtering for Robust Temporal Recommendation.
Proceedings of the 17th ACM International Conference on Web Search and Data Mining, 2024

2023
High-Level Topology Synthesis Method for Δ-Σ Modulators via Bi-Level Bayesian Optimization.
IEEE Trans. Circuits Syst. II Express Briefs, December, 2023

Automatic Op-Amp Generation From Specification to Layout.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023

Deep Learning Enriched Automation in Damage Detection for Sustainable Operation in Pipelines with Welding Defects under Varying Embedment Conditions.
Comput., November, 2023

CASES: A Cognition-Aware Smart Eyewear System for Understanding How People Read.
Proc. ACM Interact. Mob. Wearable Ubiquitous Technol., September, 2023

CNN-LSTM Hybrid Model to Promote Signal Processing of Ultrasonic Guided Lamb Waves for Damage Detection in Metallic Pipelines.
Sensors, August, 2023

Guest Editor's Introduction: Machine Learning for VLSI Physical Design.
ACM Trans. Design Autom. Electr. Syst., July, 2023

GraphPlanner: Floorplanning with Graph Neural Network.
ACM Trans. Design Autom. Electr. Syst., March, 2023

Can Large Language Models Be Good Companions? An LLM-Based Eyewear System with Conversational Common Ground.
CoRR, 2023

A Comprehensive Summarization and Evaluation of Feature Refinement Modules for CTR Prediction.
CoRR, 2023

Recommendation Unlearning via Matrix Correction.
CoRR, 2023

Simulating News Recommendation Ecosystem for Fun and Profit.
CoRR, 2023

Is dataset condensation a silver bullet for healthcare data sharing?
CoRR, 2023

Research on Thermal Compensation of x-Axis Partition of Drilling and Tapping Center Machine Tools.
IEEE Access, 2023

Personalized Graph Signal Processing for Collaborative Filtering.
Proceedings of the ACM Web Conference 2023, 2023

Triple Structural Information Modelling for Accurate, Explainable and Interactive Recommendation.
Proceedings of the 46th International ACM SIGIR Conference on Research and Development in Information Retrieval, 2023

Train Faster, Perform Better: Modular Adaptive Training in Over-Parameterized Models.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023

TOTAL: Topology Optimization of Operational Amplifier via Reinforcement Learning.
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023

Over-parameterized Model Optimization with Polyak-Łojasiewicz Condition.
Proceedings of the Eleventh International Conference on Learning Representations, 2023

Palmprint Recognition Utilizing Modified LNMF Method.
Proceedings of the Advanced Intelligent Computing Technology and Applications, 2023

Sphinx: A Hybrid Boolean Processor-FPGA Hardware Emulation System.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Automated and Agile Design of Layout Hotspot Detector via Neural Architecture Search.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

cVTS: A Constrained Voronoi Tree Search Method for High Dimensional Analog Circuit Synthesis.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Graph Representation Learning for Microarchitecture Design Space Exploration.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Efficient ILT via Multi-level Lithography Simulation.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
A Reinforcement-Learning-Based Energy-Efficient Framework for Multi-Task Video Analytics Pipeline.
IEEE Trans. Multim., 2022

Unveiling Causal Attention in Dogs' Eyes with Smart Eyewear.
Proc. ACM Interact. Mob. Wearable Ubiquitous Technol., 2022

Do Smart Glasses Dream of Sentimental Visions?: Deep Emotionship Analysis for Eyewear Devices.
Proc. ACM Interact. Mob. Wearable Ubiquitous Technol., 2022

Editorial for special issue on human-centered cooperative computing.
CCF Trans. Pervasive Comput. Interact., 2022

Cross-modal Ambiguity Learning for Multimodal Fake News Detection.
Proceedings of the WWW '22: The ACM Web Conference 2022, Virtual Event, Lyon, France, April 25, 2022

Recursive Disentanglement Network.
Proceedings of the Tenth International Conference on Learning Representations, 2022

Palmprint Feature Extraction Utilizing WTA-ICA in Contourlet Domain.
Proceedings of the Intelligent Computing Theories and Application, 2022

Palmprint Recognition Using the Combined Method of BEMD and WCB-NNSC.
Proceedings of the Intelligent Computing Theories and Application, 2022

Topology Optimization of Operational Amplifier in Continuous Space via Graph Embedding.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Floorplanning with graph attention.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
MemX: An Attention-Aware Smart Eyewear System for Personalized Moment Auto-capture.
Proc. ACM Interact. Mob. Wearable Ubiquitous Technol., 2021

2020
Embedded Intelligence in the Internet-of-Things.
IEEE Des. Test, 2020

Guest Editors' Introduction: Embedded Intelligence in the Internet-of-Things.
IEEE Des. Test, 2020

Time Sequence Features Extraction Algorithm of Lying Speech Based on Sparse CNN and LSTM.
Proceedings of the Intelligent Computing Theories and Application, 2020

2019
Blended Emotion in-the-Wild: Multi-label Facial Expression Recognition Using Crowdsourced Annotations and Deep Locality Feature Learning.
Int. J. Comput. Vis., 2019

Leaf Recognition Based on Capsule Network.
Proceedings of the Intelligent Computing Theories and Application, 2019

Hierarchical Attention Network for Predicting DNA-Protein Binding Sites.
Proceedings of the Intelligent Computing Theories and Application, 2019

Motif Discovery via Convolutional Networks with K-mer Embedding.
Proceedings of the Intelligent Computing Theories and Application, 2019

Palm Recognition Using the Adaptive LWT Based Sparse Representation Method.
Proceedings of the Intelligent Computing Theories and Application, 2019

Flower Species Recognition System Combining Object Detection and Attention Mechanism.
Proceedings of the Intelligent Computing Methodologies - 15th International Conference, 2019

Plant Leaf Recognition Based on Conditional Generative Adversarial Nets.
Proceedings of the Intelligent Computing Theories and Application, 2019

Network Security Situation Prediction Based on Long Short-Term Memory Network.
Proceedings of the 20th Asia-Pacific Network Operations and Management Symposium, 2019

2018
A Scalable Algorithm for Privacy-Preserving Item-based Top-N Recommendation.
CoRR, 2018

Collaborative Filtering with Stability.
CoRR, 2018

AdaError: An Adaptive Learning Rate Method for Matrix Approximation-based Collaborative Filtering.
Proceedings of the 2018 World Wide Web Conference on World Wide Web, 2018

Deriving Customer Privacy from Randomly Perturbed Smart Metering Data.
Proceedings of the 16th IEEE International Conference on Industrial Informatics, 2018

An Algorithmic Method for Tampering-Proof and Privacy-Preserving Smart Metering.
Proceedings of the 16th IEEE International Conference on Industrial Informatics, 2018

Lie Speech Time-Series Modeling Based on Dynamic Sparse Bayesian Network.
Proceedings of the Intelligent Computing Theories and Application, 2018

Construction of Microwave Network Topology Based on Disjoint Path Algorithm.
Proceedings of the Communications, Signal Processing, and Systems, 2018

2017
Gazelle: Energy-Efficient Wearable Analysis for Running.
IEEE Trans. Mob. Comput., 2017

Modified sparse representation based image super-resolution reconstruction method.
Neurocomputing, 2017

A BRMF-based model for missing-data estimation of image sequence.
Neurocomputing, 2017

Efficient privacy-preserving content recommendation for online social communities.
Neurocomputing, 2017

Applying High-Resolution Visible Imagery to Satellite Melt Pond Fraction Retrieval: A Neural Network Approach.
CoRR, 2017

Hierarchical context-aware anomaly diagnosis in large-scale PV systems using SCADA data.
Proceedings of the 15th IEEE International Conference on Industrial Informatics, 2017

Data quality screening for high-resolution satellite imagery via spectral clustering.
Proceedings of the 2017 IEEE International Geoscience and Remote Sensing Symposium, 2017

Lying Speech Characteristic Extraction Based on SSAE Deep Learning Model.
Proceedings of the Intelligent Computing Methodologies - 13th International Conference, 2017

MMW Image Restoration Using the Combination Method of Modified Fuzzy RBFNN and Sparse Representation.
Proceedings of the Intelligent Computing Theories and Application, 2017

Image Recognition Using Local Features Based NNSC Model.
Proceedings of the Intelligent Computing Theories and Application, 2017

ERMMA: Expected Risk Minimization for Matrix Approximation-based Recommender Systems.
Proceedings of the Thirty-First AAAI Conference on Artificial Intelligence, 2017

GLOMA: Embedding Global Information in Local Matrix Approximation Models for Collaborative Filtering.
Proceedings of the Thirty-First AAAI Conference on Artificial Intelligence, 2017

2016
Dispersion Constraint Based Non-negative Sparse Coding Model.
Neural Process. Lett., 2016

SalesExplorer: Exploring sales opportunities from white-space customers in the enterprise market.
Knowl. Based Syst., 2016

A local spectral feature based face recognition approach for the one-sample-per-person problem.
Neurocomputing, 2016

A new ISR method based on the combination of modified K-SVD model and RAMP algorithm.
Neurocomputing, 2016

Dispersion constraint based non-negative sparse coding algorithm.
Neurocomputing, 2016

An eigen decomposition based rank parameter selection approach for the NRSFM algorithm.
Neurocomputing, 2016

An algorithm for efficient privacy-preserving item-based collaborative filtering.
Future Gener. Comput. Syst., 2016

Visual data completion via local sensitive low rank tensor learning.
Proceedings of the 2016 International Joint Conference on Neural Networks, 2016

MPMA: Mixture Probabilistic Matrix Approximation for Collaborative Filtering.
Proceedings of the Twenty-Fifth International Joint Conference on Artificial Intelligence, 2016

Low-Rank Matrix Approximation with Stability.
Proceedings of the 33nd International Conference on Machine Learning, 2016

Speech Denoising Based on Sparse Representation Algorithm.
Proceedings of the Intelligent Computing Theories and Application, 2016

Convolutional Neural Network Application on Leaf Classification.
Proceedings of the Intelligent Computing Theories and Application, 2016

Locally Biased Discriminative Clustering Method for Interactive Image Segmentation.
Proceedings of the Intelligent Computing Theories and Application, 2016

Leaf Classification Utilizing a Convolutional Neural Network with a Structure of Single Connected Layer.
Proceedings of the Intelligent Computing Theories and Application, 2016

2015
Deception detecting from speech signal using relevance vector machine and non-linear dynamics features.
Neurocomputing, 2015

Improved incremental Regularized Extreme Learning Machine Algorithm and its application in two-motor decoupling control.
Neurocomputing, 2015

Shape matching and object recognition using common base triangle area.
IET Comput. Vis., 2015

WEMAREC: Accurate and Scalable Recommendation through Weighted and Ensemble Matrix Approximation.
Proceedings of the 38th International ACM SIGIR Conference on Research and Development in Information Retrieval, 2015

Implementation of Leaf Image Recognition System Based on LBP and B/S Framework.
Proceedings of the Intelligent Computing Theories and Methodologies, 2015

Implementation of Plant Leaf Recognition System on ARM Tablet Based on Local Ternary Pattern.
Proceedings of the Intelligent Computing Theories and Methodologies, 2015

Modified Sparse Representation Based Image Super-Resolution Reconstruction.
Proceedings of the Intelligent Computing Theories and Methodologies, 2015

Image Super-Resolution Reconstruction Based on Sparse Representation and POCS Method.
Proceedings of the Intelligent Computing Theories and Methodologies, 2015

Hybrid Deep Learning for Plant Leaves Classification.
Proceedings of the Intelligent Computing Theories and Methodologies, 2015

Plant Leaf Recognition Based on Contourlet Transform and Support Vector Machine.
Proceedings of the Intelligent Computing Theories and Methodologies, 2015

Data sensing and analysis: Challenges for wearables.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
Reliability-Aware Design Flow for Silicon Photonics On-Chip Interconnect.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Item-based top-N recommendation resilient to aggregated information revelation.
Knowl. Based Syst., 2014

Immune K-SVD algorithm for dictionary learning in speech denoising.
Neurocomputing, 2014

Super-resolution restoration of MMW image based on sparse representation method.
Neurocomputing, 2014

Adaptive discrete curve evolution for shape recognition.
Proceedings of the 2014 IEEE International Conference on Robotics and Biomimetics, 2014

Parallel Image Texture Feature Extraction under Hadoop Cloud Platform.
Proceedings of the Intelligent Computing Theory - 10th International Conference, 2014

Enhanced Local Ternary Pattern for Texture Classification.
Proceedings of the Intelligent Computing Theory - 10th International Conference, 2014

The Research of the Transient Feature Extraction by Resonance-Based Method Using Double-TQWT.
Proceedings of the Intelligent Computing Theory - 10th International Conference, 2014

Plant Leaf Recognition Using Histograms of Oriented Gradients.
Proceedings of the Intelligent Computing Methodologies - 10th International Conference, 2014

A New Local Binary Pattern in Texture Classification.
Proceedings of the Intelligent Computing Theory - 10th International Conference, 2014

An Integrated NRSFM Approach for Image Sequences with Small Size.
Proceedings of the Intelligent Computing Methodologies - 10th International Conference, 2014

Using Spectral Feature for Face Recognition of One-Sample-Per-Person Problem.
Proceedings of the Intelligent Computing Theory - 10th International Conference, 2014

Dispersion Constraint Based Non-Negative Sparse Coding Neural Network Model.
Proceedings of the Intelligent Computing Theory - 10th International Conference, 2014

Image Super-Resolution Reconstruction Based on Two-Stage Dictionary Learning.
Proceedings of the Intelligent Computing Methodologies - 10th International Conference, 2014

Image Super-resolution Reconstruction Utilizing the Combined Method of K-SVD and RAMP.
Proceedings of the Intelligent Computing Theory - 10th International Conference, 2014

Extract Features Using Stacked Denoised Autoencoder.
Proceedings of the Intelligent Computing in Bioinformatics - 10th International Conference, 2014

2013
Large-Scale Energy Storage System Design and Optimization for Emerging Electric-Drive Vehicles.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Personalized multi-modality image management and search for mobile devices.
Pers. Ubiquitous Comput., 2013

Neural network generalized inverse of two-motor synchronous system working on constant volts per hertz control mode.
Neurocomputing, 2013

Super restricted edge-connectivity of graphs with diameter 2.
Discret. Appl. Math., 2013

User-Centric Indoor Air Quality Monitoring on Mobile Devices.
AI Mag., 2013

Efficient object recognition method based on hierarchical representation.
Proceedings of the IEEE International Conference on Robotics and Biomimetics, 2013

Low-Resolution Image Restoration Using the Combination Method of Sparse Representation and PDE Model.
Proceedings of the Intelligent Computing Theories - 9th International Conference, 2013

Palmprint Recognition Method Based on a New Kernel Sparse Representation Method.
Proceedings of the Intelligent Computing Theories and Technology, 2013

A Novel Method for Palmprint Feature Extraction Based on Modified Pulse-Coupled Neural Network.
Proceedings of the Intelligent Computing Theories and Technology, 2013

Hallway based automatic indoor floorplan construction using room fingerprints.
Proceedings of the 2013 ACM International Joint Conference on Pervasive and Ubiquitous Computing, 2013

A Hybrid Sensor System for Indoor Air Quality Monitoring.
Proceedings of the IEEE International Conference on Distributed Computing in Sensor Systems, 2013

A Maximum K-Min Approach for Classification.
Proceedings of the Twenty-Seventh AAAI Conference on Artificial Intelligence, 2013

2012
Reliability Modeling and Management of Nanophotonic On-Chip Networks.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Introduction to special section SCPS'09.
ACM Trans. Embed. Comput. Syst., 2012

Interest-based real-time content recommendation in online social communities.
Knowl. Based Syst., 2012

Denoising MMW image using the combination method of contourlet and KSC shrinkage.
Neurocomputing, 2012

Personalized Driving Behavior Monitoring and Analysis for Emerging Hybrid Vehicles.
Proceedings of the Pervasive Computing - 10th International Conference, 2012

Nature Image Feature Extraction Using Several Sparse Variants of Non-negative Matrix Factorization Algorithm.
Proceedings of the Advances in Neural Networks - ISNN 2012, 2012

Collaborative calibration and sensor placement for mobile sensor networks.
Proceedings of the 11th International Conference on Information Processing in Sensor Networks (co-located with CPS Week 2012), 2012

Mining Top-K Frequent Correlated Subgraph Pairs in Graph Databases.
Proceedings of the Intelligent Informatics, 2012

A Linear Max K-min classifier.
Proceedings of the 21st International Conference on Pattern Recognition, 2012

Super-Resolution Restoration of MMW Image Using Sparse Representation Based on Couple Dictionaries.
Proceedings of the Emerging Intelligent Computing Technology and Applications, 2012

MMW Image Blind Restoration Using Sparse ICA in Contourlet Transform Domain.
Proceedings of the Intelligent Computing Technology - 8th International Conference, 2012

ROI Extraction of Palmprint Images Using Modified Harris Corner Point Detection Algorithm.
Proceedings of the Intelligent Computing Theories and Applications, 2012

MMW Image Enhancement Based on Gray Stretch Technique and SSR Theory.
Proceedings of the Intelligent Computing Technology - 8th International Conference, 2012

ARIEL: automatic wi-fi based room fingerprinting for indoor localization.
Proceedings of the 2012 ACM Conference on Ubiquitous Computing, 2012

2011
Full-Spectrum Spatial-Temporal Dynamic Thermal Analysis for Nanometer-Scale Integrated Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2011

A Special Section on Multicore Parallel CAD: Algorithm Design and Programming.
ACM Trans. Design Autom. Electr. Syst., 2011

Introduction to nanophotonic communication technology integration.
ACM J. Emerg. Technol. Comput. Syst., 2011

Iris: A hybrid nanophotonic network design for high-performance and low-power on-chip communication.
ACM J. Emerg. Technol. Comput. Syst., 2011

Pistis: A Privacy-Preserving Content Recommender System for Online Social Communities.
Proceedings of the 2011 IEEE/WIC/ACM International Conference on Web Intelligence, 2011

ETree: Effective and Efficient Event Modeling for Real-Time Online Social Media Networks.
Proceedings of the 2011 IEEE/WIC/ACM International Conference on Web Intelligence, 2011

Speaker Recognition Based on Principal Component Analysis and Probabilistic Neural Network.
Proceedings of the Advanced Intelligent Computing Theories and Applications. With Aspects of Artificial Intelligence, 2011

Palmprint Recognition Based on Two-Dimensional Gabor Wavelet Transform and Two-Dimensional Principal Component Analysis.
Proceedings of the Advanced Intelligent Computing - 7th International Conference, 2011

MMW Image Reconstruction Combined NNSC Shrinkage Technique and PDEs Algorithm.
Proceedings of the Advanced Intelligent Computing Theories and Applications. With Aspects of Artificial Intelligence, 2011

Palm Recognition Using Fast Sparse Coding Algorithm.
Proceedings of the Advanced Intelligent Computing Theories and Applications. With Aspects of Artificial Intelligence, 2011

Image Feature Extraction Using the Fusion Features of BEMD and WCB-NNSC.
Proceedings of the Advanced Intelligent Computing - 7th International Conference, 2011

A Palmprint Classification Method Based on Finite Ridgelet Transformation and SVM.
Proceedings of the Advanced Intelligent Computing - 7th International Conference, 2011

MAQS: a mobile sensing system for indoor air quality.
Proceedings of the UbiComp 2011: Ubiquitous Computing, 13th International Conference, 2011

MAQS: a personalized mobile sensing system for indoor air quality monitoring.
Proceedings of the UbiComp 2011: Ubiquitous Computing, 13th International Conference, 2011

Device modeling and system simulation of nanophotonic on-chip networks for reliability, power and performance.
Proceedings of the 48th Design Automation Conference, 2011

Modeling and analysis of micro-ring based silicon photonic interconnect for embedded systems.
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011

YANA: an efficient privacy-preserving recommender system for online social communities.
Proceedings of the 20th ACM Conference on Information and Knowledge Management, 2011

Parallel cross-layer optimization of high-level synthesis and physical design.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

Power Dissipation.
Proceedings of the Low-Power Variation-Tolerant Design in Nanometer Silicon, 2011

2010
C-Pack: A High-Performance Microprocessor Cache Compression Algorithm.
IEEE Trans. Very Large Scale Integr. Syst., 2010

An Adaptive Algorithm for Single-Electron Device and Circuit Simulation.
IEEE Trans. Very Large Scale Integr. Syst., 2010

Multicore Parallelization of Min-Cost Flow for CAD Applications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

Low-power 3D nano/CMOS hybrid dynamically reconfigurable architecture.
ACM J. Emerg. Technol. Comput. Syst., 2010

An improved constrained ICA with reference based unmixing matrix initialization.
Neurocomputing, 2010

Global On-Chip Coordination at Light Speed.
IEEE Des. Test Comput., 2010

Palmprint Recognition Using 2D-Gabor Wavelet Based Sparse Coding and RBPNN Classifier.
Proceedings of the Advances in Neural Networks, 2010

Hybrid energy storage system integration for vehicles.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

Power-efficient variation-aware photonic on-chip network management.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

Large-scale battery system modeling and analysis for emerging electric-drive vehicles.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

Quantum Collapsing Median Filter.
Proceedings of the Advanced Intelligent Computing Theories and Applications, 2010

Face Recognition Using the Feature Fusion Technique Based on LNMF and NNSC Algorithms.
Proceedings of the Advanced Intelligent Computing Theories and Applications, 2010

Palmprint Recognition Method Using WTA-ICA Based on 2DPCA.
Proceedings of the Advanced Intelligent Computing Theories and Applications, 2010

Palm Line Extraction Using FRIT.
Proceedings of the Advanced Intelligent Computing Theories and Applications, 2010

Properties of and improvements to time-domain dynamic thermal analysis algorithms.
Proceedings of the Design, Automation and Test in Europe, 2010

Reliability- and process variation-aware placement for FPGAs.
Proceedings of the Design, Automation and Test in Europe, 2010

System-level reliability modeling for MPSoCs.
Proceedings of the 8th International Conference on Hardware/Software Codesign and System Synthesis, 2010

2009
Characterization of Single-Electron Tunneling Transistors for Designing Low-Power Embedded Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2009

ACM Transactions on Design Automation of Electronic Systems (TODAES) special section call for papers: Parallel CAD: Algorithm design and programming.
ACM Trans. Design Autom. Electr. Syst., 2009

Multiscale Thermal Analysis for Nanometer-Scale Integrated Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Design space exploration and data memory architecture design for a hybrid nano/CMOS dynamically reconfigurable architecture.
ACM J. Emerg. Technol. Comput. Syst., 2009

A hybrid nano/CMOS dynamically reconfigurable system - Part I: Architecture.
ACM J. Emerg. Technol. Comput. Syst., 2009

A hybrid Nano/CMOS dynamically reconfigurable system - Part II: Design optimization flow.
ACM J. Emerg. Technol. Comput. Syst., 2009

Degree conditions for graphs to be lambda<sub>3</sub>-optimal and super-lambda<sub>3</sub>.
Discret. Math., 2009

iScope: personalized multi-modality image search for mobile devices.
Proceedings of the 7th International Conference on Mobile Systems, 2009

A high-performance low-power nanophotonic on-chip network.
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009

Image Reconstruction Using NMF with Sparse Constraints Based on Kurtosis Measurement Criterion.
Proceedings of the Emerging Intelligent Computing Technology and Applications. With Aspects of Artificial Intelligence, 2009

Latency criticality aware on-chip communication.
Proceedings of the Design, Automation and Test in Europe, 2009

Process variation characterization of chip-level multiprocessors.
Proceedings of the 46th Design Automation Conference, 2009

Multicore parallel min-cost flow algorithm for CAD applications.
Proceedings of the 46th Design Automation Conference, 2009

Statistical reliability analysis under process variation and aging effects.
Proceedings of the 46th Design Automation Conference, 2009

Spectrum: a hybrid nanophotonic-electric on-chip network.
Proceedings of the 46th Design Automation Conference, 2009

A platform for developing adaptable multicore applications.
Proceedings of the 2009 International Conference on Compilers, 2009

2008
Application-Specific MPSoC Reliability Optimization.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Three-Dimensional Chip-Multiprocessor Run-Time Thermal Management.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

System-Level Dynamic Thermal Management for High-Performance Microprocessors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Non-negative sparse coding shrinkage for image denoising using normal inverse Gaussian density model.
Image Vis. Comput., 2008

Transaction-Aware Network-on-Chip Resource Reservation.
IEEE Comput. Archit. Lett., 2008

Denoising natural images based on a modified sparse coding algorithm.
Appl. Math. Comput., 2008

A New Denoising Approach for Sound Signals Based on Non-negative Sparse Coding of Power Spectra.
Proceedings of the Advances in Neural Networks, 2008

Denoising Natural Images Using Sparse Coding Algorithm Based on the Kurtosis Measurement.
Proceedings of the Advances in Neural Networks, 2008

Image Reconstruction Using a Modified Sparse Coding Technique.
Proceedings of the Advanced Intelligent Computing Theories and Applications. With Aspects of Theoretical and Methodological Issues, 2008

Temperature-aware test scheduling for multiprocessor systems-on-chip.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

ThermalScope: multi-scale thermal analysis for nanometer-scale integrated circuits.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

Design and Implementation of a High-Performance Microprocessor Cache Compression Algorithm.
Proceedings of the 2008 Data Compression Conference (DCC 2008), 2008

Adaptive Simulation for Single-Electron Devices.
Proceedings of the Design, Automation and Test in Europe, 2008

Multi-optimization power management for chip multiprocessors.
Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques, 2008

Leveraging on-chip networks for data cache migration in chip multiprocessors.
Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques, 2008

2007
ISAC: Integrated Space-and-Time-Adaptive Chip-Package Thermal Analysis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

SLOPES: Hardware-Software Cosynthesis of Low-Power Real-Time Distributed Embedded Systems With Dynamically Reconfigurable FPGAs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Sufficient conditions for graphs to be <i>lambda'</i>-optimal and super-<i>lambda'</i>.
Networks, 2007

Power, Thermal, and Reliability Modeling in Nanometer-Scale Microprocessors.
IEEE Micro, 2007

Thermal vs Energy Optimization for DVFS-Enabled Processors in Embedded Systems.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

Palmprint Recognition Using a Novel Sparse Coding Technique.
Proceedings of the Advances in Neural Networks, 2007

Molecular Cancer Class Discovery Using Non-negative Matrix Factorization with Sparseness Constraint.
Proceedings of the Advanced Intelligent Computing Theories and Applications. With Aspects of Theoretical and Methodological Issues, 2007

3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

Accurate temperature-dependent integrated circuit leakage power estimation is easy.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

Towards An Ultra-Low-Power Architecture Using Single-Electron Tunneling Transistors.
Proceedings of the 44th Design Automation Conference, 2007

NanoMap: An Integrated Design Optimization Flow for a Hybrid Nanotube/CMOS Dynamically Reconfigurable Architecture.
Proceedings of the 44th Design Automation Conference, 2007

Reliable multiprocessor system-on-chip synthesis.
Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, 2007

Three-dimensional multiprocessor system-on-chip thermal optimization.
Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, 2007

2006
PowerHerd: a distributed scheme for dynamically satisfying peak-power constraints in interconnection networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Adaptive Denoising Using a Modified Sparse Coding Shrinkage Method.
Neural Process. Lett., 2006

Temperature-Aware On-Chip Networks.
IEEE Micro, 2006

Feature selection in independent component subspace for microarray data classification.
Neurocomputing, 2006

Optimal selection of time lags for TDSEP based on genetic algorithm.
Neurocomputing, 2006

Noise removal using a novel non-negative sparse coding shrinkage technique.
Neurocomputing, 2006

Palmprint recognition using FastICA algorithm and radial basis probabilistic neural network.
Neurocomputing, 2006

In-network cache coherence.
IEEE Comput. Archit. Lett., 2006

Palmprint Recognition Using ICA Based on Winner-Take-All Network and Radial Basis Probabilistic Neural Network.
Proceedings of the Advances in Neural Networks - ISNN 2006, Third International Symposium on Neural Networks, Chengdu, China, May 28, 2006

Penalized Independent Component Discriminant Method for Tumor Classification.
Proceedings of the Computational Intelligence and Bioinformatics, 2006

Adaptive multi-domain thermal modeling and analysis for integrated circuit synthesis and design.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Adaptive chip-package thermal analysis for synthesis and design.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

NATURE: a hybrid nanotube/CMOS dynamically reconfigurable architecture.
Proceedings of the 43rd Design Automation Conference, 2006

HybDTM: a coordinated hardware-software approach for dynamic thermal management.
Proceedings of the 43rd Design Automation Conference, 2006

TAPHS: thermal-aware unified physical-level and high-level synthesis.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
Using batch algorithm for kernel blind source separation.
Neurocomputing, 2005

Post-nonlinear Blind Source Separation Using Neural Networks with Sandwiched Structure.
Proceedings of the Advances in Neural Networks - ISNN 2005, Second International Symposium on Neural Networks, Chongqing, China, May 30, 2005

Image Feature Extraction Based on an Extended Non-negative Sparse Coding Neural Network Model.
Proceedings of the Advances in Neural Networks - ISNN 2005, Second International Symposium on Neural Networks, Chongqing, China, May 30, 2005

Image Denoising Using Non-Negative Sparse Coding Shrinkage Algorithm.
Proceedings of the 2005 IEEE Computer Society Conference on Computer Vision and Pattern Recognition (CVPR 2005), 2005

2004
DESP: A Distributed Economics-Based Subcontracting Protocol for Computation Distribution in Power-Aware Mobile Ad Hoc Networks.
IEEE Trans. Mob. Comput., 2004

Thermal Modeling, Characterization and Management of On-Chip Networks.
Proceedings of the 37th Annual International Symposium on Microarchitecture (MICRO-37 2004), 2004

2003
PowerHerd: dynamic satisfaction of peak power constraints in interconnection networks.
Proceedings of the 17th Annual International Conference on Supercomputing, 2003

Dynamic Voltage Scaling with Links for Power Optimization of Interconnection Networks.
Proceedings of the Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), 2003

A comprehensive high-level synthesis system for control-flow intensive behaviors.
Proceedings of the 13th ACM Great Lakes Symposium on VLSI 2003, 2003

2002
Power-efficient Interconnection Networks: Dynamic Voltage Scaling with Links.
IEEE Comput. Archit. Lett., 2002

Hardware-Software Co-Synthesis of Low Power Real-Time Distributed Embedded Systems with Dynamically Reconfigurable FPGAs.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

An Economics-based Power-aware Protocol for Computation Distribution in Mobile Ad-Hoc Networks.
Proceedings of the International Conference on Parallel and Distributed Computing Systems, 2002

Dynamic power consumption in Virtex[tm]-II FPGA family.
Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2002

2001
High-Level Power Modeling of CPLDs and FPGAs.
Proceedings of the 19th International Conference on Computer Design (ICCD 2001), 2001


  Loading...