Shinji Miyano

According to our database1, Shinji Miyano authored at least 32 papers between 1995 and 2014.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2014
13.4 A 7ns-access-time 25μW/MHz 128kb SRAM for low-power fast wake-up MCU in 65nm CMOS with 27fA/b retention current.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

2013
Highly Energy-Efficient SRAM With Hierarchical Bit Line Charge-Sharing Method Using Non-Selected Bit Line Charges.
IEEE J. Solid State Circuits, 2013

A 6T-SRAM With a Post-Process Electron Injection Scheme That Pinpoints and Simultaneously Repairs Disturb Fails for 57% Less Read Delay and 31% Less Read Energy.
IEEE J. Solid State Circuits, 2013

Experimental Demonstration of Post-Fabrication Self-Improvement of SRAM Cell Stability by High-Voltage Stress.
IEICE Trans. Electron., 2013

NBTI Reliability of PFETs under Post-Fabrication Self-Improvement Scheme for SRAM.
IEICE Trans. Electron., 2013

A 40-nm 8T SRAM with selective source line control of read bitlines and address preset structure.
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, 2013

A 40-nm 0.5-V 12.9-pJ/Access 8T SRAM using low-power disturb mitigation technique.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
Near Threshold Voltage Word-Line Voltage Injection Self-Convergence Scheme for Local Electron Injected Asymmetric Pass Gate Transistor 6T-SRAM.
IEEE Trans. Circuits Syst. I Regul. Pap., 2012

A 40-nm 0.5-V 12.9-pJ/Access 8T SRAM Using Low-Energy Disturb Mitigation Scheme.
IEICE Trans. Electron., 2012

Analysis of Operation Margin and Read Speed in 6T- and 8T-SRAM with Local Electron Injected Asymmetric Pass Gate Transistor.
IEICE Trans. Electron., 2012

A 40-nm 256-Kb Half-Select Resilient 8T SRAM with Sequential Writing Technique.
IEICE Electron. Express, 2012

A 13.8pJ/Access/Mbit SRAM with charge collector circuits for effective use of non-selected bit line charges.
Proceedings of the Symposium on VLSI Circuits, 2012

A 6T SRAM with a carrier-injection scheme to pinpoint and repair fails that achieves 57% faster read and 31% lower read energy.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

A 40-nm 256-Kb 0.6-V operation half-select resilient 8T SRAM with sequential writing technique enabling 367-mV VDDmin reduction.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012

A 40-nm 256-Kb Sub-10 pJ/Access 8t SRAM with read bitline amplitude limiting (RBAL) scheme.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

60% Cycle time acceleration, 55% energy reduction, 32Kbit SRAM by auto-selective boost (ASB) scheme for slow memory cells in random variations.
Proceedings of the 38th European Solid-State Circuit conference, 2012

2011
Improvement of Read Margin and Its Distribution by V<sub>TH</sub> Mismatch Self-Repair in 6T-SRAM With Asymmetric Pass Gate Transistor Formed by Post-Process Local Electron Injection.
IEEE J. Solid State Circuits, 2011

0.4V SRAM with bit line swing suppression charge share hierarchical bit line scheme.
Proceedings of the 2011 IEEE Custom Integrated Circuits Conference, 2011

Statistical VTH shift variation self-convergence scheme using near threshold VWL injection for local electron injected asymmetric pass gate transistor SRAM.
Proceedings of the 2011 IEEE Custom Integrated Circuits Conference, 2011

Energy efficiency degradation caused by random variation in low-voltage SRAM and 26% energy reduction by Bitline Amplitude Limiting (BAL) scheme.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2011

2010
Misleading energy and performance claims in sub/near threshold digital systems.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

0.5-V, 150-MHz, bulk-CMOS SRAM with suspended bit-line read scheme.
Proceedings of the 36th European Solid-State Circuits Conference, 2010

Elimination of half select disturb in 8T-SRAM by local injected electron asymmetric pass gate transistor.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2010

2008
An 833MHz Pseudo-Two-Port Embedded DRAM for Graphics Applications.
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

An RF MEMS Variable Capacitor with Intelligent Bipolar Actuation.
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

2006
A 65nm low-power embedded DRAM with extended data-retention sleep mode.
Proceedings of the 2006 IEEE International Solid State Circuits Conference, 2006

2001
Interface socket design methodology to generate embedded DRAM macros.
Proceedings of the IEEE 2001 Custom Integrated Circuits Conference, 2001

2000
Dynamically shift-switched dataline redundancy suitable for DRAM macro with wide data bus.
IEEE J. Solid State Circuits, 2000

1999
Universal Test Interface for Embedded-DRAM Testing.
IEEE Des. Test Comput., 1999

1998
A configurable DRAM macro design for 2112 derivative organizations to be synthesized using a memory generator.
IEEE J. Solid State Circuits, 1998

A DRAM module generator with an expandable cell array scheme.
Proceedings of the IEEE 1998 Custom Integrated Circuits Conference, 1998

1995
A 1.6 Gbyte/s data transfer rate 8 Mb embedded DRAM.
IEEE J. Solid State Circuits, November, 1995


  Loading...