Song Chen

Orcid: 0000-0003-0341-3428

Affiliations:
  • University of Science and Technology of China, Department of Electronic Science and Technology, Hefei, China
  • Waseda University, Graduate School of Information, Production and Systems, Kitakyushu, Japan (2005 - 2012)
  • Tsinghua University, Department of Computer Science and Technology, Beijing, China (PhD 2005)


According to our database1, Song Chen authored at least 138 papers between 2003 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
AD<sup>2</sup>VNCS: Adversarial Defense and Device Variation-tolerance in Memristive Crossbar-based Neuromorphic Computing Systems.
ACM Trans. Design Autom. Electr. Syst., January, 2024

Bit-Balance: Model-Hardware Codesign for Accelerating NNs by Exploiting Bit-Level Sparsity.
IEEE Trans. Computers, January, 2024

2023
Task Modules Partitioning, Scheduling and Floorplanning for Partially Dynamically Reconfigurable Systems with Heterogeneous Resources.
ACM Trans. Design Autom. Electr. Syst., November, 2023

BusMap: Application Mapping With Bus Routing for Coarse-Grained Reconfigurable Array.
IEEE Trans. Circuits Syst. II Express Briefs, August, 2023

Resist: Robust Network Training for Memristive Crossbar-Based Neuromorphic Computing Systems.
IEEE Trans. Circuits Syst. II Express Briefs, June, 2023

Sense: Model-Hardware Codesign for Accelerating Sparse CNNs on Systolic Arrays.
IEEE Trans. Very Large Scale Integr. Syst., April, 2023

Memory-aware Partitioning, Scheduling, and Floorplanning for Partially Dynamically Reconfigurable Systems.
ACM Trans. Design Autom. Electr. Syst., January, 2023

DDAM: Data Distribution-Aware Mapping of CNNs on Processing-In-Memory Systems.
ACM Trans. Design Autom. Electr. Syst., 2023

Reliability-Driven Memristive Crossbar Design in Neuromorphic Computing Systems.
IEEE Trans Autom. Sci. Eng., 2023

Graph Attention-Based Symmetry Constraint Extraction for Analog Circuits.
CoRR, 2023

IOPS: An Unified SpMM Accelerator Based on Inner-Outer-Hybrid Product.
CoRR, 2023

AiDAC: A Low-Cost In-Memory Computing Architecture with All-Analog Multi-Bit Compute and Interconnect.
CoRR, 2023

BandMap: Application Mapping with Bandwidth Allocation forCoarse-Grained Reconfigurable Array.
CoRR, 2023

NicePIM: Design Space Exploration for Processing-In-Memory DNN Accelerators with 3D-Stacked-DRAM.
CoRR, 2023

Bit-balance: Model-Hardware Co-design for Accelerating NNs by Exploiting Bit-level Sparsity.
CoRR, 2023

Fine-Grained Transistor-Level QDI Asynchronous Crossbar Switch.
Proceedings of the 36th IEEE International System-on-Chip Conference, 2023

Efficient Transistor-Level QDI Asynchronous Switch for Neuromorphic Systems.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

Granular Transistor-Level Approaches for QDI Asynchronous Crossbar Switches.
Proceedings of the 66th IEEE International Midwest Symposium on Circuits and Systems, 2023

A Lightweight Stereo Matching Neural Network Based on Depthwise Separable Convolution.
Proceedings of the IEEE International Conference on Integrated Circuits, 2023

Restructure-Tolerant Timing Prediction via Multimodal Fusion.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

GGPA: A General Graph Processing Architecture with Flexible Execution Paradigm.
Proceedings of the 20th ACM International Conference on Computing Frontiers, 2023

An 1.38nJ/Inference Clock-Free Mixed-Signal Neuromorphic Architecture Using ReL-PSP Function and Computing-in-Memory.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023

2022
Synthesizing Brain-network-inspired Interconnections for Large-scale Network-on-chips.
ACM Trans. Design Autom. Electr. Syst., 2022

A Resource-Efficient Pipelined Architecture for Real-Time Semi-Global Stereo Matching.
IEEE Trans. Circuits Syst. Video Technol., 2022

Generating Brain-Network-Inspired Topologies for Large-Scale NoCs on Monolithic 3D ICs.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

Cellular Structure-Based Fault-Tolerance TSV Configuration in 3D-IC.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Fortune: A New Fault-Tolerance TSV Configuration in Router-Based Redundancy Structure.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

GoodFloorplan: Graph Convolutional Network and Reinforcement Learning-Based Floorplanning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Real-time infrared small target detection network and accelerator design.
Integr., 2022

Task modules Partitioning, Scheduling and Floorplanning for Partially Dynamically Reconfigurable Systems Based on Modern Heterogeneous FPGAs.
CoRR, 2022

Sense: Model Hardware Co-design for Accelerating Sparse Neural Networks.
CoRR, 2022

Multi-scale Lightweight Neural Network for Real-Time Object Detection.
Proceedings of the PRICAI 2022: Trends in Artificial Intelligence, 2022

PCFBCD: An Innovative Approach to Accelerating Collaborative Filtering.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

SAUST: A Scheme for Acceleration of Unstructured Sparse Transformer.
Proceedings of the 2022 IEEE International Conference on Integrated Circuits, 2022

DRGS: Low-Precision Full Quantization of Deep Neural Network with Dynamic Rounding and Gradient Scaling for Object Detection.
Proceedings of the Data Mining and Big Data - 7th International Conference, 2022

2021
A Non-volatile Computing-in-Memory ReRAM Macro using Two-bit Current-Mode Sensing Amplifier.
Proceedings of the 10th IEEE Non-Volatile Memory Systems and Applications Symposium, 2021

A Low-latency NoC Router Priority Scheme for BFS algorithm.
Proceedings of the 2021 IEEE International Conference on Integrated Circuits, 2021

Reliability-Driven Neuromorphic Computing Systems Design.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Effects of using Multi Voltage Threshold Transistors in Asynchronous Circuits.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

A Low Power Branch Prediction for Deep Learning on RISC-V Processor.
Proceedings of the 32nd IEEE International Conference on Application-specific Systems, 2021

2020
Memristive Crossbar Mapping for Neuromorphic Computing Systems on 3D IC.
ACM Trans. Design Autom. Electr. Syst., 2020

Integrated Optimization of Partitioning, Scheduling, and Floorplanning for Partially Dynamically Reconfigurable Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Generalized Fault-Tolerance Topology Generation for Application-Specific Network-on-Chips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Fault tolerance in memristive crossbar-based neuromorphic computing systems.
Integr., 2020

Realtime CNN-based Keypoint Detector with Sobel Filter and CNN-based Descriptor Trained with Keypoint Candidates.
CoRR, 2020

Reliability-Driven Neural Network Training for Memristive Crossbar-Based Neuromorphic Computing Systems.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

SaD-SLAM: A Visual SLAM Based on Semantic and Depth Information.
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2020

Synthesizing A Generalized Brain-inspired Interconnection Network for Large-scale Network-on-chip Systems.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

Fault-Tolerant-Driven Clustering for Large Scale Neuromorphic Computing Systems.
Proceedings of the 2nd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2020

2019
Adaptive 3D-IC TSV Fault Tolerance Structure Generation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Integrating operation scheduling and binding for functional unit power-gating in high-level synthesis.
Integr., 2019

High throughput hardware architecture for accurate semi-global matching.
Integr., 2019

Reconfigurable topology synthesis for application-specific NoC on partially dynamically reconfigurable systems.
Integr., 2019

Low-Resource Hardware Architecture for Semi-Global Stereo Matching.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Customizing CMOS/ReRAM Hybrid Hardware Architecture for Spiking CNN.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

An Energy-Efficient Systolic Pipeline Architecture for Binary Convolutional Neural Network.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

2018
Power-gating-aware scheduling with effective hardware resources optimization.
Integr., 2018

Lagrangian relaxation-based routing path allocation for application-specific network-on-chips.
Integr., 2018

Integrated Optimization of Partitioning, Scheduling and Floorplanning for Partially Dynamically Reconfigurable Systems.
CoRR, 2018

Security-Aware Task Scheduling Using Untrusted Components in High-Level Synthesis.
IEEE Access, 2018

Security-Driven Task Scheduling for Multiprocessor System-on-Chips with Performance Constraints.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Memristive Crossbar Mapping for Neuromorphic Computing Systems on 3D IC.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

2017
Clustered Fault Tolerance TSV Planning for 3-D Integrated Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Fast thermal analysis for fixed-outline 3D floorplanning.
Integr., 2017

AutoNFT: Architecture synthesis for hardware DFT of length-of-coprime-number products.
Integr., 2017

Reconfigurable topology synthesis for application-specific noc on partially dynamically reconfigurable FPGAs.
Proceedings of the ACM/IEEE 2017 International Workshop on System Level Interconnect Prediction, 2017

An Integrated Optimization Framework for Partitioning, Scheduling and Floorplanning on Partially Dynamically Reconfigurable FPGAs.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

Memristor-based material implication logic design for full adders.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

A fully pipelined hardware architecture for convolutional neural network with low memory usage and DRAM bandwidth.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

Integer linear programming based fault-tolerant topology synthesis for application-specific NoC.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

2016
Leakage-Power-Aware Scheduling With Dual-Threshold Voltage Design.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Floorplanning and Topology Synthesis for Application-Specific Network-on-Chips with RF-Interconnect.
ACM Trans. Design Autom. Electr. Syst., 2016

Combining the ant system algorithm and simulated annealing for 3D/2D fixed-outline floorplanning.
Appl. Soft Comput., 2016

Real-Time Hardware Stereo Matching Using Guided Image Filter.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016

2015
Irregularly shaped voltage islands generation with hazard and heal strategy.
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015

A full layer parallel QC-LDPC decoder for WiMAX and Wi-Fi.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

Lagrangian relaxation based topology synthesis for Application-Specific Network-on-Chips.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

2014
Mobility Overlap-Removal-Based Leakage Power and Register-Aware Scheduling in High-Level Synthesis.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2014

Leakage Power Aware Scheduling in High-Level Synthesis.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2014

2013
Floorplanning and Topology Synthesis for Application-Specific Network-on-Chips.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2013

Resource-Aware Multi-Layer Floorplanning for Partially Reconfigurable FPGAs.
IEICE Trans. Electron., 2013

Min-cut based leakage power aware scheduling in high-level synthesis.
Proceedings of the International Symposium on Quality Electronic Design, 2013

Delay-driven layer assignment in global routing under multi-tier interconnect structure.
Proceedings of the International Symposium on Physical Design, 2013

Mobility overlap-removal based leakage power aware scheduling in high-level synthesis.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Topology-aware floorplanning for 3D application-specific Network-on-Chip synthesis.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Network simplex method based Multiple Voltage Scheduling in Power-efficient High-level synthesis.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

Lagrangian relaxation based pin assignment and Through-Silicon Via planning for 3-D SoCs.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

Power and resource aware scheduling with multiple voltages.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

Interconnection allocation between functional units and registers in High-Level Synthesis.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

2012
Cluster Generation and Network Component Insertion for Topology Synthesis of Application-Specific Network-on-Chips.
IEICE Trans. Electron., 2012

Novel Voltage Choice and Min-Cut Based Assignment for Dual-VDD System.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2012

Floorplanning for High Utilization of Heterogeneous FPGAs.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2012

Port assignment for interconnect reduction in high-level synthesis.
Proceedings of Technical Program of 2012 VLSI Design, Automation and Test, 2012

Application-Specific Network-on-Chip synthesis with topology-aware floorplanning.
Proceedings of the 25th Symposium on Integrated Circuits and Systems Design, 2012

Practically scalable floorplanning with voltage island generation.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

Linear optimal one-sided single-detour algorithm for untangling twisted bus.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

2011
Application-specific Network-on-Chip synthesis: Cluster generation and network component insertion.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

Novel and efficient min cut based voltage assignment in gate level.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

Floorplanning driven Network-on-Chip synthesis for 3-D SoCs.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Network flow-based simultaneous retiming and slack budgeting for low power design.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

An effecient level-shifter floorplanning method for Multi-voltage design.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

Mobility overlap-removal based timing-constrained scheduling.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

Through-Silicon-Via assignment for 3D ICs.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

2010
Multi-layer floorplanning for stacked ICs: Configuration number and fixed-outline constraints.
Integr., 2010

Redundant via Insertion: Removing Design Rule Conflicts and Balancing via Density.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2010

Whitespace insertion for through-silicon via planning on 3-D SoCs.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

A revisit to voltage partitioning problem.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

Floorplanning and topology generation for application-specific network-on-chip.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

Post-scheduling frequency assignment for energy-efficient high-level synthesis.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

2009
Exploration of Schedule Space by Random Walk.
IPSJ Trans. Syst. LSI Des. Methodol., 2009

Voltage and Level-Shifter Assignment Driven Floorplanning.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

Lagrangian Relaxation Based Inter-Layer Signal Via Assignment for 3-D ICs.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

A generalized V-shaped multilevel method for large scale floorplanning.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

Voltage-island driven floorplanning considering level-shifter positions.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

2008
Fixed-Outline Floorplanning: Block-Position Enumeration and a New Method for Calculating Area Costs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

A Synthesis Method of General Floating-Point Arithmetic Units by Aligned Partition.
IPSJ Trans. Syst. LSI Des. Methodol., 2008

2007
Max-Flow Scheduling in High-Level Synthesis.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2007

A stable fixed-outline floorplanning method.
Proceedings of the 2007 International Symposium on Physical Design, 2007

2006
VLSI Block Placement With Alignment Constraints.
IEEE Trans. Circuits Syst. II Express Briefs, 2006

Buffer planning based on block exchanging.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

On the Number of 3-D IC Floorplan Configurations and a Solution Perturbation Method with Good Convergence.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2006, 2006

2005
Buffer planning as an Integral part of floorplanning with consideration of routing congestion.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

Buffer Planning Algorithm Based on Partial Clustered Floorplanning.
Proceedings of the 6th International Symposium on Quality of Electronic Design (ISQED 2005), 2005

Floorplanning with Consideration of White Space Resource Distribution for Repeater Planning.
Proceedings of the 6th International Symposium on Quality of Electronic Design (ISQED 2005), 2005

Performance constrained floorplanning based on partial clustering [IC layout].
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

VLSI block placement with alignment constraints based on corner block list.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

A New Buffer Planning Algorithm Based on Room Resizing.
Proceedings of the Embedded and Ubiquitous Computing, 2005

2004
Fast Evaluation of Bounded Slice-Line Grid.
J. Comput. Sci. Technol., 2004

A buffer planning algorithm for chip-level floorplanning.
Sci. China Ser. F Inf. Sci., 2004

Buffer allocation algorithm with consideration of routing congestion.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

A buffer planning algorithm with congestion optimization.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2003
VLSI Module Placement with Pre-Placed Modules and with Consideration of Congestion Using Solution Space Smoothing.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2003

An integrated floorplanning with an efficient buffer planning algorithm.
Proceedings of the 2003 International Symposium on Physical Design, 2003

Arbitrary convex and concave rectilinear block packing based on corner block list.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Evaluating a bounded slice-line grid assignment in O(nlogn) time.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Dynamic global buffer planning optimization based on detail block locating and congestion analysis.
Proceedings of the 40th Design Automation Conference, 2003

VLSI module placement with pre-placed modules and considering congestion using solution space smoothing.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003

A buffer planning algorithm based on dead space redistribution.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003


  Loading...