Jinn-Shyan Wang

Orcid: 0000-0001-7638-0802

According to our database1, Jinn-Shyan Wang authored at least 114 papers between 1995 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
A 16 nm 140 TOPS/W 5 μJ/Inference Keyword Spotting Engine Based on 1D-BCNN.
IEEE Trans. Circuits Syst. II Express Briefs, December, 2023

2022
A 40nm CMOS SoC for Real-Time Dysarthric Voice Conversion of Stroke Patients.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2020
Low-Active-Energy and Low-Standby-Power Sub-threshold ROM for IoT Edge Sensing Systems.
Proceedings of the 2020 International Symposium on VLSI Design, Automation and Test, 2020

A 0.21V 40nm NAND-ROM for IoT Sensing Systems with Long Standby Periods.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

2019
An All-Digital On-Chip Peak-to-Peak Jitter Measurement Circuit With Automatic Resolution Calibration for High PVT-Variation Resilience.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

2018
A 0.2 V 32-Kb 10T SRAM With 41 nW Standby Power for IoT Applications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

A Low-Area, Low-Power, and Low-Leakage Error-Detecting Latch for Timing-Error Resilient System Designs.
Proceedings of the 31st IEEE International System-on-Chip Conference, 2018

Near-Threshold CORDIC Design with Dynamic Circuitry for Long-Standby IoT Applications.
Proceedings of the 31st IEEE International System-on-Chip Conference, 2018

2017
Process/Voltage/Temperature-Variation-Aware Design and Comparative Study of Transition-Detector-Based Error-Detecting Latches for Timing-Error-Resilient Pipelined Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2017

ULV-Turbo Cache for an Instantaneous Performance Boost on Asymmetric Architectures.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Approximate Distributed Arithmetic for Variable-Latency Table Lookup.
Proceedings of the New Generation of CAS, 2017

An all-n-type dynamic adder for ultra-low-leakage IoT devices.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

2016
Zero-Counting and Adaptive-Latency Cache Using a Voltage-Guardband Breakthrough for Energy-Efficient Operations.
IEEE Trans. Circuits Syst. II Express Briefs, 2016

Cross-matching caches: Dynamic timing calibration and bit-level timing-failure mask caches to reduce timing discrepancies with low voltage processors.
Integr., 2016

Overoptimistic voltage scaling in pre-error AVS systems and learning-based alleviation.
Proceedings of the 29th IEEE International System-on-Chip Conference, 2016

Variable-length VLIW encoding for code size reduction in embedded processors.
Proceedings of the 29th IEEE International System-on-Chip Conference, 2016

Design of an all-digital temperature sensor in 28 nm CMOS using temperature-sensitive delay cells and adaptive-1P calibration for error reduction.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
A Calibration-Free PVTD-Variation-Tolerant Sensing Scheme for Footless-8T SRAM Designs.
IEEE Trans. Multi Scale Comput. Syst., 2015

An All-Digital Delay-Locked Loop Using an In-Time Phase Maintenance Scheme for Low-Jitter Gigahertz Operations.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

A Wide-Range, Low-Power, All-Digital Delay-Locked Loop With Cyclic Half-Delay-Line Architecture.
IEEE J. Solid State Circuits, 2015

Low-cost low-power droop-voltage-aware delay-fault-prevention designs for DVS caches.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

2014
Low power fixed-latency DSP accelerator with autonomous minimum energy tracking (AMET).
Proceedings of the 2014 IEEE Hot Chips 26 Symposium (HCS), 2014

A 3 MHz-to-1.8 GHz 94 μW-to-9.5 mW 0.0153-mm<sup>2</sup> all-digital delay-locked loop in 65-nm CMOS.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2014

2013
Embedding Repeaters in Silicon IPs for Cross-IP Interconnections.
IEEE Trans. Very Large Scale Integr. Syst., 2013

A high-throughput and high-capacity IPv6 routing lookup system.
Comput. Networks, 2013

Variation-aware and adaptive-latency accesses for reliable low voltage caches.
Proceedings of the 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, 2013

A 0.48V 0.57nJ/pixel video-recording SoC in 65nm CMOS.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

Self-super-cutoff power gating with state retention on a 0.3V 0.29fJ/cycle/gate 32b RISC core in 0.13µm CMOS.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

An energy-efficient truly all-digital temperature sensor for SoC applications.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013

2012
Towards Process Variation-Aware Power Gating.
IEEE Trans. Very Large Scale Integr. Syst., 2012

A Scalable High-Performance Virus Detection Processor Against a Large Pattern Set for Embedded Network Security.
IEEE Trans. Very Large Scale Integr. Syst., 2012

A 4R/2W Register File Design for UDVS Microprocessors in 65-nm CMOS.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

An ultra Low-voltage/Power-Efficient All-Digital Delay Locked Loop in 55 nm CMOS Technology.
J. Circuits Syst. Comput., 2012

Design of 65 nm Sub-Threshold SRAM Using the Bitline Leakage Prediction Scheme and the Non-trimmed Sense Amplifier.
IEICE Trans. Electron., 2012

Design of a 2.5-GHz, 3-ps jitter, 8-locking-cycle, all-digital delay-locked loop with cycle-by-cycle phase adjustment.
Proceedings of the Symposium on VLSI Circuits, 2012

2011
A dynamic quality-adjustable H.264 intra coder.
IEEE Trans. Consumer Electron., 2011

Design of High-Performance CMOS Level Converters Considering PVT Variations.
IEICE Trans. Electron., 2011

Design of Subthreshold SRAMs for Energy-Efficient Quality-Scalable Video Applications.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2011

RSCE-aware ultra-low-voltage 40-nm CMOS circuits.
Proceedings of the International SoC Design Conference, 2011

A H.264/MPEG-2 dual mode video decoder chip supporting temporal/spatial scalable video.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

Variation-resilient voltage generation for SRAM weak cell testing.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

Low power shift registers for megabits CMOS image sensors.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

ADDLL/VDD-biasing co-design for process characterization, performance calibration, and clock synchronization in variation-tolerant designs.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

2010
A Duty-Cycle-Distortion-Tolerant Half-Delay-Line Low-Power Fast-Lock-in All-Digital Delay-Locked Loop.
IEEE J. Solid State Circuits, 2010

Heuristic Sizing Methodology for Designing High-Performance CMOS Level Converters with Balanced Rise and Fall Delays.
IEICE Trans. Electron., 2010

A 55nm 1GHz one-cycle-locking de-skewing circuit.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

2009
VisoMT: A Collaborative Multithreading Multicore Processor for Multimedia Applications With a Fast Data Switching Mechanism.
IEEE Trans. Circuits Syst. Video Technol., 2009

A Dynamic Quality-Adjustable H.264 Video Encoder for Power-Aware Video Applications.
IEEE Trans. Circuits Syst. Video Technol., 2009

An Adaptively Dividable Dual-Port BiTCAM for Virus-Detection Processors in Mobile Devices.
IEEE J. Solid State Circuits, 2009

A Dynamic Quality-scalable H.264 Video Encoder.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

No cache-coherence: a single-cycle ring interconnection for multi-core L1-NUCA sharing on 3D chips.
Proceedings of the 46th Design Automation Conference, 2009

A dynamic quality-scalable H.264 video encoder chip.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

2008
High-Speed and Low-Power Design Techniques for TCAM Macros.
IEEE J. Solid State Circuits, 2008

A low-voltage latch-adder based tree multiplier.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

2007
A 160K Gates/4.5 KB SRAM H.264 Video Decoder for HDTV Applications.
IEEE J. Solid State Circuits, 2007

A Quality Scalable H.264/AVC Baseline Intra Encoder for High Definition Video Applicaitons.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2007

A 230mV-to-500mV 375KHz-to-16MHz 32b RISC Core in 0.18μm CMOS.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

A 7mW-to-183mW Dynamic Quality-Scalable H.264 Video Encoder Chip.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

2006
A high-performance direct 2-D transform coding IP design for MPEG-4AVC/H.264.
IEEE Trans. Circuits Syst. Video Technol., 2006

An AND-type match-line scheme for high-performance energy-efficient content addressable memories.
IEEE J. Solid State Circuits, 2006

TCAM for IP-Address Lookup Using Tree-style AND-type Match Lines and Segmented Search Lines.
Proceedings of the 2006 IEEE International Solid State Circuits Conference, 2006

An improved SAR controller for DLL applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Design of STR level converters for SoCs using the multi-island dual-VDD design technique.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A performance-aware IP core design for multimode transform coding using scalable-DA algorithm.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A Condition-based Intra Prediction Algorithm for H.264/AVC.
Proceedings of the 2006 IEEE International Conference on Multimedia and Expo, 2006

Low Complexity Architecture Design of H.264 Predictive Pixel Compensator for HDTV Application.
Proceedings of the 2006 IEEE International Conference on Acoustics Speech and Signal Processing, 2006

A 124.8Msps, 15.6mW field-programmable variable-length codec for multimedia applications.
Proceedings of the Conference on Design, Automation and Test in Europe: Designers' Forum, 2006

An 830mW, 586kbps 1024-bit RSA chip design.
Proceedings of the Conference on Design, Automation and Test in Europe: Designers' Forum, 2006

2005
An Energy-Aware IP Core Design for the Variable-Length DCT/IDCT Targeting at MPEG4 Shape-Adaptive Transforms.
IEEE Trans. Circuits Syst. Video Technol., 2005

Design techniques for single-low-V<sub>DD</sub> CMOS systems.
IEEE J. Solid State Circuits, 2005

An efficient spurious power suppression technique (SPST) and its applications on MPEG-4 AVC/H.264 transform coding design.
Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005

A low-power high-SFDR CMOS direct digital frequency synthesizer.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

An all-digital pulsewidth control loop.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

An efficient direct 2-D transform coding IP design for MPEG-4 AVC/H.264.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

2004
A low-power half-delay-line fast skew-compensation circuit.
IEEE J. Solid State Circuits, 2004

The CMOS carry-forward adders.
IEEE J. Solid State Circuits, 2004

Low-power fixed-width array multipliers.
Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004

An all-digital 50% duty-cycle corrector.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

Pseudo-footless CMOS domino logic circuits for high-performance VLSI designs.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

A power-aware IP core design for the variable-length DCT/IDCT targeting at MPEG4 shape-adaptive transforms.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

A power-aware SNR-progressive DCT/IDCT IP core design for multimedia transform coding.
Proceedings of the 2004 IEEE International Conference on Multimedia and Expo, 2004

A reliable low-power fast skew-compensation circuit.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2003
Design theory and implementation for low-power segmented bus systems.
ACM Trans. Design Autom. Electr. Syst., 2003

High-performance and power-efficient CMOS comparators.
IEEE J. Solid State Circuits, 2003

Energy Efficient Caching-on-Cache Architectures for Embedded Systems.
J. Inf. Sci. Eng., 2003

2002
Low-voltage pulsewidth control loops for SOC applications.
IEEE J. Solid State Circuits, 2002

Design of high-performance CMOS priority encoders and incrementer/decrementers using multilevel lookahead and multilevel folding techniques.
IEEE J. Solid State Circuits, 2002

An Associative Architecture of CMAC for Mobile Robot Motion Control.
J. Inf. Sci. Eng., 2002

2001
Charge-sharing alleviation and detection for CMOS domino circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

Analysis and design of high-speed and low-power CMOS PLAs.
IEEE J. Solid State Circuits, 2001

Low-power and high-speed ROM modules for ASIC applications.
IEEE J. Solid State Circuits, 2001

A high-efficiency CMOS charge pump circuit.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

A high-speed CMOS incrementer/decrementer.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

A contention-alleviated static keeper for high-performance domino logic circuits.
Proceedings of the 2001 8th IEEE International Conference on Electronics, 2001

Design of low-power domino circuits using multiple supply voltages.
Proceedings of the 2001 8th IEEE International Conference on Electronics, 2001

2000
Design of a 3-V 300-MHz low-power 8-b×8-b pipelined multiplier using pulse-triggered TSPC flip-flops.
IEEE J. Solid State Circuits, 2000

Low-power embedded SRAM with the current-mode write technique.
IEEE J. Solid State Circuits, 2000

High-speed and low-power CMOS priority encoders.
IEEE J. Solid State Circuits, 2000

A 1-GHz low-power transposition memory using new pulse-clocked D flip-flops.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

A compact adaptive equalizer IC for HIPERLAN system.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

A high-speed single-phase-clocked CMOS priority encoder.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

Synthesis of CMOS Domino Circuits for Charge Sharing Alleviation.
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000

Low-Speed Scan Testing of Charge-Sharing Faults for CMOS Domino Circuits.
Proceedings of the 15th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2000), 2000

Charge sharing fault analysis and testing for CMOS domino logic circuits.
Proceedings of the 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, 2000

Power analysis and implementation of a low-power 300 MHz 8-b × 8-b pipelined multiplier.
Proceedings of ASP-DAC 2000, 2000

A new CMAC neural network architecture and its ASIC realization.
Proceedings of ASP-DAC 2000, 2000

1999
Segmented bus design for low-power systems.
IEEE Trans. Very Large Scale Integr. Syst., 1999

A cell selection strategy for low power applications.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999

A new high-speed/low-power dynamic CMOS logic and its application to the design of an AOI-type ROM.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999

Charge Sharing Fault Detection for CMOS Domino Logic Circuits.
Proceedings of the 14th International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT '99), 1999

Layout Techniques Supporting the Use of Dual Supply Voltages for Cell-based Designs.
Proceedings of the 36th Conference on Design Automation, 1999

Technnology Mapping for Low Power.
Proceedings of the 1999 Conference on Asia South Pacific Design Automation, 1999

1998
Low-power embedded SRAM macros with current-mode read/write operations.
Proceedings of the 1998 International Symposium on Low Power Electronics and Design, 1998

1995
Low-Voltage Low-Power CMOS True-Single-Phase Clocking Scheme with Locally Asynchronous Logic Circuits.
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995


  Loading...