W. Robert Daasch

According to our database1, W. Robert Daasch authored at least 39 papers between 1987 and 2016.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2016
Consistency in wafer based outlier screening.
Proceedings of the 34th IEEE VLSI Test Symposium, 2016

What we know after twelve years developing and deploying test data analytics solutions.
Proceedings of the 2016 IEEE International Test Conference, 2016

2015
Generalization of an outlier model into a "global" perspective.
Proceedings of the 2015 IEEE International Test Conference, 2015

2014
Copula Models of Correlation: A DRAM Case Study.
IEEE Trans. Computers, 2014

Board manufacturing test correlation to IC manufacturing test.
Proceedings of the 2014 International Test Conference, 2014

2011
Die-level adaptive test: Real-time test reordering and elimination.
Proceedings of the 2011 IEEE International Test Conference, 2011

2009
Statistics in Semiconductor Test: Going beyond Yield.
IEEE Des. Test Comput., 2009

Multidimensional Test Escape Rate Modeling.
IEEE Des. Test Comput., 2009

Application of non-parametric statistics of the parametric response for defect diagnosis.
Proceedings of the 2009 IEEE International Test Conference, 2009

2008
Analyzing the Impact of Fault Tolerant BIST for VLSI Design.
Proceedings of the 23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 2008

2007
Silicon evaluation of longest path avoidance testing for small delay defects.
Proceedings of the 2007 IEEE International Test Conference, 2007

2006
Changing Test and Data Modeling Requirements for Screening Latent Defects as Statistical Outliers.
IEEE Des. Test Comput., 2006

2005
Defect Screening Using Independent Component Analysis on I_DDQ.
Proceedings of the 23rd IEEE VLSI Test Symposium (VTS 2005), 2005

Burn-in reduction using principal component analysis.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

The value of statistical testing for quality, yield and test cost improvement.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

Data-driven models for statistical testing: measurements, estimates and residuals.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

Variance reduction and outliers: statistical analysis of semiconductor test data.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

2004
Detection of Temperature Sensitive Defects Using ZTC.
Proceedings of the 22nd IEEE VLSI Test Symposium (VTS 2004), 2004

Minimum Testing Requirements to Screen Temperature Dependent Defects.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

In Search of the Optimum Test Set - Adaptive Test Methods for Maximum Defect Coverage and Lowest Test Cost.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

Dude! Where's my data? - Cracking Open the Hermetically Sealed Tester.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

A radio-frequency CMOS active inductor and its application in designing high-Q filters.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

2003
Obtaining High Defect Coverage for Frequency-Dependent Defects in Complex ASICs.
IEEE Des. Test Comput., 2003

Effectiveness Comparisons of Outlier Screening Methods for Frequency Dependent Defects on Complex ASICs.
Proceedings of the 21st IEEE VLSI Test Symposium (VTS 2003), 27 April, 2003

Screening VDSM Outliers using Nominal and Subthreshold Supply Voltage IDDQ.
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003

2002
Leakage and Process Variation Effects in Current Testing on Future CMOS Circuits.
IEEE Des. Test Comput., 2002

Neighborhood Selection for IDDQ Outlier Screening at Wafer Sort.
IEEE Des. Test Comput., 2002

Statistical Post-Processing at Wafersort - An Alternative to Burn-in and a Manufacturable Solution to Test Limit Setting for Sub-micron Technologies.
Proceedings of the 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April, 2002

Isolating and Removing Sources of Variation in Test Data.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002

Screening MinVDD Outliers Using Feed-Forward Voltage Testing.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002

Neighbor Selection for Variance Reduction in IDDQ and Other Parametric Data.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002

A Thermal-Aware Superscalar Microprocessor (invited).
Proceedings of the 3rd International Symposium on Quality of Electronic Design, 2002

2001
Neighbor selection for variance reduction in I_DDQ and other parametric data.
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001

2000
TEM<sup>2</sup>P<sup>2</sup>EST: A Thermal Enabled Multi-model Power/Performance ESTimator.
Proceedings of the Power-Aware Computer Systems, First International Workshop, 2000

Variance reduction using wafer patterns in I_ddQ data.
Proceedings of the Proceedings IEEE International Test Conference 2000, 2000

1995
Accurate Predictions of Parallel Program Execution Time.
J. Parallel Distributed Comput., 1995

1993
A 20 MHz Fully-balanced Transconductance-C Filter in 2 µm CMOS Technology.
Proceedings of the 1993 IEEE International Symposium on Circuits and Systems, 1993

1992
Automation of the ic layout of continuous-time transconductance-capacitor filters.
Int. J. Circuit Theory Appl., 1992

1987
High Speed Interconnection Using the Clos Network.
Proceedings of the Supercomputing, 1987


  Loading...