Kaisheng Ma

Orcid: 0000-0001-9226-3366

According to our database1, Kaisheng Ma authored at least 93 papers between 2013 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
ShapeLLM: Universal 3D Object Understanding for Embodied Interaction.
CoRR, 2024

Gemini: Mapping and Architecture Co-exploration for Large-scale DNN Chiplet Accelerators.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2024

Cocco: Hardware-Mapping Co-Exploration towards Memory Capacity-Communication Optimization.
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024

Guiding a Harsh-Environments Robust Detector via RAW Data Characteristic Mining.
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024

CutFreq: Cut-and-Swap Frequency Components for Low-Level Vision Augmentation.
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024

2023
Structured Knowledge Distillation for Accurate and Efficient Object Detection.
IEEE Trans. Pattern Anal. Mach. Intell., December, 2023

A Good Data Augmentation Policy is not All You Need: A Multi-Task Learning Perspective.
IEEE Trans. Circuits Syst. Video Technol., May, 2023

Learn From Unpaired Data for Image Restoration: A Variational Bayes Approach.
IEEE Trans. Pattern Anal. Mach. Intell., May, 2023

Reliable and Efficient Parallel Checkpointing Framework for Nonvolatile Processor With Concurrent Peripherals.
IEEE Trans. Circuits Syst. I Regul. Pap., January, 2023

DreamLLM: Synergistic Multimodal Comprehension and Creation.
CoRR, 2023

Point-GCC: Universal Self-supervised 3D Scene Pre-training via Geometry-Color Contrast.
CoRR, 2023

CORSD: Class-Oriented Relational Self Distillation.
CoRR, 2023

VPP: Efficient Conditional 3D Generation via Voxel-Point Progressive Representation.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023

Heterogeneous Die-to-Die Interfaces: Enabling More Flexible Chiplet Interconnection Systems.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

A 28nm 68MOPS 0.18\mu\mathrm{J}/\text{Op}$ Paillier Homomorphic Encryption Processor with Bit-Serial Sparse Ciphertext Computing.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

Inter-layer Scheduling Space Definition and Exploration for Tiled Accelerators.
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023

Revisiting Data Augmentation in Model Compression: An Empirical and Comprehensive Study.
Proceedings of the International Joint Conference on Neural Networks, 2023

Contrast with Reconstruct: Contrastive 3D Representation Learning Guided by Generative Pretraining.
Proceedings of the International Conference on Machine Learning, 2023

Hebbian and Gradient-based Plasticity Enables Robust Memory and Rapid Learning in RNNs.
Proceedings of the Eleventh International Conference on Learning Representations, 2023

Autoencoders as Cross-Modal Teachers: Can Pretrained 2D Image Transformers Help 3D Representation Learning?
Proceedings of the Eleventh International Conference on Learning Representations, 2023

CLIP-FO3D: Learning Free Open-world 3D Scene Representations from 2D Dense CLIP.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023

Tiny Updater: Towards Efficient Neural Network-Driven Software Updating.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023

A Scalable Methodology for Designing Efficient Interconnection Network of Chiplets.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023

A Scalable Multi-Chiplet Deep Learning Accelerator with Hub-Side 2.5D Heterogeneous Integration.
Proceedings of the 35th IEEE Hot Chips Symposium, 2023

PHEP: Paillier Homomorphic Encryption Processors for Privacy-Preserving Applications in Cloud Computing.
Proceedings of the 35th IEEE Hot Chips Symposium, 2023

PointDistiller: Structured Knowledge Distillation Towards Efficient and Compact 3D Detection.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023

Structured Knowledge Distillation Towards Efficient Multi-View 3D Object Detection.
Proceedings of the 34th British Machine Vision Conference 2023, 2023

Region-aware Knowledge Distillation for Efficient Image-to-Image Translation.
Proceedings of the 34th British Machine Vision Conference 2023, 2023

Language-Assisted 3D Feature Learning for Semantic Scene Understanding.
Proceedings of the Thirty-Seventh AAAI Conference on Artificial Intelligence, 2023

2022
Non-Structured DNN Weight Pruning - Is It Beneficial in Any Platform?
IEEE Trans. Neural Networks Learn. Syst., 2022

Self-Distillation: Towards Efficient and Compact Neural Networks.
IEEE Trans. Pattern Anal. Mach. Intell., 2022

Structured Knowledge Distillation Towards Efficient and Compact Multi-View 3D Detection.
CoRR, 2022

Unsupervised Deep Learning Meets Chan-Vese Model.
CoRR, 2022

SAFIT: Segmentation-Aware Scene Flow with Improved Transformer.
Proceedings of the 2022 International Conference on Robotics and Automation, 2022

MemSR: Training Memory-efficient Lightweight Model for Image Super-Resolution.
Proceedings of the International Conference on Machine Learning, 2022

Finding the Task-Optimal Low-Bit Sub-Distribution in Deep Neural Networks.
Proceedings of the International Conference on Machine Learning, 2022

Contrastive Deep Supervision.
Proceedings of the Computer Vision - ECCV 2022, 2022

Chiplet actuary: a quantitative cost model and multi-chiplet architecture exploration.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

YOLoC: deploy large-scale neural network by ROM-based computing-in-memory using residual branch on a chip.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Rethinking the Augmentation Module in Contrastive Learning: Learning Hierarchical Augmentation Invariance with Expanded Views.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2022

Wavelet Knowledge Distillation: Towards Efficient Image-to-Image Translation.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2022

Few-Shot Supervised Prototype Alignment for Pedestrian Detection on Fisheye Images.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops, 2022

LW-ISP: A Lightweight Model with ISP and Deep Learning.
Proceedings of the 33rd British Machine Vision Conference 2022, 2022

2021
AFEC: Active Forgetting of Negative Transfer in Continual Learning.
Proceedings of the Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, 2021

NN-Baton: DNN Workload Orchestration and Chiplet Granularity Exploration for Multichip Accelerators.
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021

Wavelet J-Net: A Frequency Perspective on Convolutional Neural Networks.
Proceedings of the International Joint Conference on Neural Networks, 2021

An Unsupervised Deep Learning Approach for Real-World Image Denoising.
Proceedings of the 9th International Conference on Learning Representations, 2021

Improve Object Detection with Feature-based Knowledge Distillation: Towards Accurate and Efficient Detectors.
Proceedings of the 9th International Conference on Learning Representations, 2021

A 400MHz NPU with 7.8TOPS<sup>2</sup>/W High-PerformanceGuaranteed Efficiency in 55nm for Multi-Mode Pruning and Diverse Quantization Using Pattern-Kernel Encoding and Reconfigurable MAC Units.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2021

Multi-Glimpse Network: A Robust and Efficient Classification Architecture based on Recurrent Downsampled Attention.
Proceedings of the 32nd British Machine Vision Conference 2021, 2021

2020
An Image Enhancing Pattern-based Sparsity for Real-time Inference on Mobile Devices.
CoRR, 2020

Task-Oriented Feature Distillation.
Proceedings of the Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, 2020

Design Insights of Non-volatile Processors and Accelerators in Energy Harvesting Systems.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

An Image Enhancing Pattern-Based Sparsity for Real-Time Inference on Mobile Devices.
Proceedings of the Computer Vision - ECCV 2020, 2020

PCNN: Pattern-based Fine-Grained Regular Pruning Towards Optimizing CNN Accelerators.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Auxiliary Training: Towards Accurate and Robust Models.
Proceedings of the 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2020

Light-weight Calibrator: A Separable Component for Unsupervised Domain Adaptation.
Proceedings of the 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2020

PCONV: The Missing but Desirable Sparsity in DNN Weight Pruning for Real-Time Execution on Mobile Devices.
Proceedings of the Thirty-Fourth AAAI Conference on Artificial Intelligence, 2020

2019
Design of 2T/Cell and 3T/Cell Nonvolatile Memories with Emerging Ferroelectric FETs.
IEEE Des. Test, 2019

Non-structured DNN Weight Pruning Considered Harmful.
CoRR, 2019

Brain-inspired reverse adversarial examples.
CoRR, 2019

Toward Extremely Low Bit and Lossless Accuracy in DNNs with Progressive ADMM.
CoRR, 2019

Second Rethinking of Network Pruning in the Adversarial Setting.
CoRR, 2019

SCAN: A Scalable Neural Networks Framework Towards Compact and Efficient Models.
Proceedings of the Advances in Neural Information Processing Systems 32: Annual Conference on Neural Information Processing Systems 2019, 2019

Gated Contiguous Memory U-Net for Single Image Dehazing.
Proceedings of the Neural Information Processing - 26th International Conference, 2019

Be Your Own Teacher: Improve the Performance of Convolutional Neural Networks via Self Distillation.
Proceedings of the 2019 IEEE/CVF International Conference on Computer Vision, 2019

Adversarial Robustness vs. Model Compression, or Both?
Proceedings of the 2019 IEEE/CVF International Conference on Computer Vision, 2019

2018
Symmetric 2-D-Memory Access to Multidimensional Data.
IEEE Trans. Very Large Scale Integr. Syst., 2018

IAA: Incidental Approximate Architectures for Extremely Energy-Constrained Energy Harvesting Scenarios using IoT Nonvolatile Processors.
IEEE Micro, 2018

Dual Mode Ferroelectric Transistor based Non-Volatile Flip-Flops for Intermittently-Powered Systems.
Proceedings of the International Symposium on Low Power Electronics and Design, 2018

NEOFog: Nonvolatility-Exploiting Optimizations for Fog Computing.
Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, 2018

2017
Dynamic Power and Energy Management for Energy Harvesting Nonvolatile Processor Systems.
ACM Trans. Embed. Comput. Syst., 2017

Advancing Nonvolatile Computing With Nonvolatile NCFET Latches and Flip-Flops.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

Incidental computing on IoT nonvolatile processors.
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017

Evaluating tradeoffs in granularity and overheads in supporting nonvolatile execution semantics.
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017

Nonvolatile processors: Why is it trending?
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Spendthrift: Machine learning based resource and frequency scaling for ambient energy harvesting nonvolatile processors.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
Nonvolatile Processor Architectures: Efficient, Reliable Progress with Unstable Power.
IEEE Micro, 2016

Enabling Internet-of-Things with Opportunities Brought by Emerging Devices, Circuits and Architectures.
Proceedings of the VLSI-SoC: System-on-Chip in the Nanoscale Era - Design, Verification and Reliability, 2016

Nonvolatile memory design based on ferroelectric FETs.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2015
Nonvolatile Processor Architecture Exploration for Energy-Harvesting Applications.
IEEE Micro, 2015

Key characterization factors of accurate power modeling for FinFET circuits.
Sci. China Inf. Sci., 2015

Using Multiple-Input NEMS for Parallel A/D Conversion and Image Processing.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

Dynamic Machine Learning Based Matching of Nonvolatile Processor Microarchitecture to Harvested Energy Profile.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Architecture exploration for ambient energy harvesting nonvolatile processors.
Proceedings of the 21st IEEE International Symposium on High Performance Computer Architecture, 2015

Ambient energy harvesting nonvolatile processors: from circuit to system.
Proceedings of the 52nd Annual Design Automation Conference, 2015

2014
Tunnel FET RF Rectifier Design for Energy Harvesting Applications.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2014

Ultra-low power dissipation of improved complementary pass-transistor adiabatic logic circuits based on FinFETs.
Sci. China Inf. Sci., 2014

Low power adiabatic logic based on FinFETs.
Sci. China Inf. Sci., 2014

Rf-powered systems using steep-slope devices.
Proceedings of the IEEE 12th International New Circuits and Systems Conference, 2014

Independently-Controlled-Gate FinFET 6T SRAM Cell Design for Leakage Current Reduction and Enhanced Read Access Speed.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

2013
Leakage Power Reduction of Adiabatic Circuits Based on FinFET Devices.
IEICE Trans. Electron., 2013

A Dynamic-Adjusting Threshold-Voltage Scheme for FinFETs low power designs.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013


  Loading...