Simon Thomann

Orcid: 0000-0002-7902-9353

According to our database1, Simon Thomann authored at least 31 papers between 2019 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
HW/SW Co-Design for Reliable TCAM- Based In-Memory Brain-Inspired Hyperdimensional Computing.
IEEE Trans. Computers, August, 2023

FDSOI-Based Analog Computing for Ultra-Efficient Hamming Distance Similarity Calculation.
IEEE Trans. Circuits Syst. I Regul. Pap., July, 2023

Cross-Layer Reliability Modeling of Dual-Port FeFET: Device-Algorithm Interaction.
IEEE Trans. Circuits Syst. I Regul. Pap., July, 2023

Compact CMOS-Compatible Majority Gate Using Body Biasing in FDSOI Technology.
IEEE J. Emerg. Sel. Topics Circuits Syst., March, 2023

Cryogenic CMOS for Quantum Processing: 5-nm FinFET-Based SRAM Arrays at 10 K.
IEEE Trans. Circuits Syst. I Regul. Pap., 2023

Cryogenic In-Memory Computing for Quantum Processors Using Commercial 5-nm FinFETs.
IEEE Open J. Circuits Syst., 2023

HW/SW Codesign for Robust and Efficient Binarized SNNs by Capacitor Minimization.
CoRR, 2023

Compact and High-Performance TCAM Based on Scaled Double-Gate FeFETs.
CoRR, 2023

Reliable Brain-inspired AI Accelerators using Classical and Emerging Memories.
Proceedings of the 41st IEEE VLSI Test Symposium, 2023

ML-TCAD: Perspectives and Challenges on Accelerating Transistor Modeling using ML.
Proceedings of the 5th ACM/IEEE Workshop on Machine Learning for CAD, 2023

Analysis and Characterization of Defects in FeFETs.
Proceedings of the IEEE International Test Conference, 2023

Reliable FeFET-based Neuromorphic Computing through Joint Modeling of Cycle-to-Cycle Variability, Device-to-Device Variability, and Domain Stochasticity.
Proceedings of the IEEE International Reliability Physics Symposium, 2023

Energy-efficient Computation-In-Memory Architecture using Emerging Technologies.
Proceedings of the International Conference on Microelectronics, 2023

5nm FinFET Cryogenic SRAM Evaluation for Quantum Computing.
Proceedings of the Device Research Conference, 2023

Compact and High-Performance TCAM Based on Scaled Double-Gate FeFETs.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Tutorial: The Synergy of Hyperdimensional and In-Memory Computing.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2023

2022
Reliable Binarized Neural Networks on Unreliable Beyond Von-Neumann Architecture.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

HW/SW Co-design for Reliable In-memory Brain-inspired Hyperdimensional Computing.
CoRR, 2022

Cross-layer FeFET Reliability Modeling for Robust Hyperdimensional Computing.
Proceedings of the 30th IFIP/IEEE 30th International Conference on Very Large Scale Integration, 2022

HW/SW Codesign for Approximate In-Memory Computing.
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022

Suppressing Channel Percolation in Ferroelectric FET for Reliable Neuromorphic Applications.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

Mitigating the Impact of Variability in NCFET-based Coupled-Oscillator Networks Applications.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

Joint Modeling of Multi-Domain Ferroelectric and Distributed Channel towards Unveiling the Asymmetric Abrupt DC Current Jump in Ferroelectric FET.
Proceedings of the 52nd IEEE European Solid-State Device Research Conference, 2022

2021
On the Reliability of In-Memory Computing: Impact of Temperature on Ferroelectric TCAM.
Proceedings of the 39th IEEE VLSI Test Symposium, 2021

Reliability-Driven Voltage Optimization for NCFET-based SRAM Memory Banks.
Proceedings of the 39th IEEE VLSI Test Symposium, 2021

ICCAD Tutorial Session Paper Ferroelectric FET Technology and Applications: From Devices to Systems.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Cross-layer Design for Computing-in-Memory: From Devices, Circuits, to Architectures and Applications.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
BTI and HCD Degradation in a Complete 32 × 64 bit SRAM Array - including Sense Amplifiers and Write Drivers - under Processor Activity.
Proceedings of the 2020 IEEE International Reliability Physics Symposium, 2020

Impact of Extrinsic Variation Sources on the Device-to-Device Variation in Ferroelectric FET.
Proceedings of the 2020 IEEE International Reliability Physics Symposium, 2020

Impact of Self-Heating on Performance, Power and Reliability in FinFET Technology.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
Reliability Challenges with Self-Heating and Aging in FinFET Technology.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019


  Loading...