Alberto Macii

Orcid: 0000-0002-8869-5710

According to our database1, Alberto Macii authored at least 128 papers between 1998 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
An online reinforcement learning approach for HVAC control.
Expert Syst. Appl., March, 2024

2023
Transformer neural networks for interpretable flood forecasting.
Environ. Model. Softw., February, 2023

2022
In-Situ Defect Detection of Metal Additive Manufacturing: An Integrated Framework.
IEEE Trans. Emerg. Top. Comput., 2022

A hierarchical and modular agent-oriented framework for power systems co-simulations.
Energy Inform., 2022

A comparison study of co-simulation frameworks for multi-energy systems: the scalability problem.
Energy Inform., 2022

Solar radiation forecasting with deep learning techniques integrating geostationary satellite images.
Eng. Appl. Artif. Intell., 2022

A Nonlinear Two-Parameter Model for the Spatial Analysis of Solar Irradiation.
Proceedings of the 46th IEEE Annual Computers, Software, and Applications Conferenc, 2022

2021
Low-Overhead Adaptive Brightness Scaling for Energy Reduction in OLED Displays.
IEEE Trans. Emerg. Top. Comput., 2021

Optimizing Quality Inspection and Control in Powder Bed Metal Additive Manufacturing: Challenges and Research Directions.
Proc. IEEE, 2021

Forecasting the Grid Power Demand of Charging Stations from EV Drivers' Attitude.
Proceedings of the IEEE 45th Annual Computers, Software, and Applications Conference, 2021

2020
A Spatial Correlation Analysis of Seismic and Flooding Events: Application to Italy.
Proceedings of the ICGDA 2020: 3rd International Conference on Geoinformatics and Data Analysis, 2020

2019
Battery-Aware Operation Range Estimation for Terrestrial and Aerial Electric Vehicles.
IEEE Trans. Veh. Technol., 2019

A Cloud-to-edge Architecture for Predictive Analytics.
Proceedings of the Workshops of the EDBT/ICDT 2019 Joint Conference, 2019

A Fog Computing Approach for Predictive Maintenance.
Proceedings of the Advanced Information Systems Engineering Workshops, 2019

A New Unsupervised Predictive-Model Self-Assessment Approach That SCALEs.
Proceedings of the 2019 IEEE International Congress on Big Data, 2019

PREMISES, a Scalable Data-Driven Service to Predict Alarms in Slowly-Degrading Multi-Cycle Industrial Processes.
Proceedings of the 2019 IEEE International Congress on Big Data, 2019

2018
Guest Editorial for the Special Section on Emerging Computational Paradigms.
IEEE Trans. Emerg. Top. Comput., 2018

Composable Battery Model Templates Based on Manufacturers' Data.
IEEE Des. Test, 2018

Aging and Cost Optimal Residential Charging for Plug-In EVs.
IEEE Des. Test, 2018

iSTEP, an Integrated Self-Tuning Engine for Predictive Maintenance in Industry 4.0.
Proceedings of the IEEE International Conference on Parallel & Distributed Processing with Applications, 2018

Battery-Aware Energy Model of Drone Delivery Tasks.
Proceedings of the International Symposium on Low Power Electronics and Design, 2018

Fundamental Feature Extraction of the Battery Charge Phase from Product Data.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

An accurate electro-thermal model of SiC power mosfets for fast simulations.
Proceedings of the IEEE International Conference on Industrial Technology, 2018

2017
A Modular Framework for Battery Modeling in Electronic Designs.
J. Low Power Electron., 2017

2016
Energy-efficient battery charging in electric vehicles with solar panels.
Proceedings of the 2nd IEEE International Forum on Research and Technologies for Society and Industry Leveraging a better tomorrow, 2016

A Li-Ion Battery Charge Protocol with Optimal Aging-Quality of Service Trade-off.
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016

A compact IGBT electro-thermal model in Verilog-A for fast system-level simulation.
Proceedings of the IECON 2016, 2016

2015
A Temperature-Aware Battery Cycle Life Model for Different Battery Chemistries.
Proceedings of the VLSI-SoC: Design for Reliability, Security, and Low Power, 2015

An equation-based battery cycle life model for various battery chemistries.
Proceedings of the 2015 IFIP/IEEE International Conference on Very Large Scale Integration, 2015

An aging-aware battery charge scheme for mobile devices exploiting plug-in time patterns.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

2014
Row-based body-bias assignment for dynamic thermal clock-skew compensation.
Microelectron. J., 2014

A compact macromodel for the charge phase of a battery with typical charging protocol.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014

Automated generation of battery aging models from datasheets.
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014

Modeling of the charging behavior of li-ion batteries based on manufacturer's data.
Proceedings of the Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21, 2014

2013
Layout-Driven Post-Placement Techniques for Temperature Reduction and Thermal Gradient Minimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Modeling and characterization of thermally induced skew on clock distribution networks of nanometric ICs.
Microelectron. J., 2013

A framework with temperature-aware accuracy levels for battery modeling from datasheets.
Proceedings of the 2013 23rd International Workshop on Power and Timing Modeling, 2013

An automated framework for generating variable-accuracy battery models from datasheet information.
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013

2012
Design Techniques and Architectures for Low-Leakage SRAMs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2012

On-chip process variation-tracking through an all-digital monitoring architecture.
IET Circuits Devices Syst., 2012

On-Chip NBTI and PBTI Tracking through an All-Digital Aging Monitor Architecture.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2012

Investigating the effects of Inverted Temperature Dependence (ITD) on clock distribution networks.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
Row-Based Power-Gating: A Novel Sleep Transistor Insertion Methodology for Leakage Power Optimization in Nanometer CMOS Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Fast Computation of Discharge Current Upper Bounds for Clustered Power Gating.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Power Efficient Variability Compensation Through Clustered Tunable Power-Gating.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2011

Sub-Row Sleep Transistor Insertion for Concurrent Clock-Gating and Power-Gating.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2011

An On-Chip All-Digital PV-Monitoring Architecture for Digital IPs.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2011

Moving to Green ICT: From stand-alone power-aware IC design to an integrated approach to energy efficient design for heterogeneous electronic systems.
Proceedings of the Design, Automation and Test in Europe, 2011

2010
Thermal-Aware Clock Tree Design to Increase Timing Reliability of Embedded SoCs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

A Refinement Methodology for Clock Gating Optimization at Layout Level in Digital Circuits.
J. Low Power Electron., 2010

Power-aware partitioning of data converters.
Proceedings of the 18th IEEE/IFIP VLSI-SoC 2010, 2010

An integrated thermal estimation framework for industrial embedded platforms.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

2009
Design of a Flexible Reactivation Cell for Safe Power-Mode Transition in Power-Gated Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., 2009

Exploiting Temporal Discharge Current Information to Improve the Efficiency of Clustered Power-Gating.
J. Low Power Electron., 2009

Enhanced switching activity balancing encoding schemes for uniform temperature distribution in on-chip buses.
J. Embed. Comput., 2009

Reducing Timing Overhead in Simultaneously Clock-Gated and Power-Gated Designs by Placement-Aware Clustering.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2009

Data-Driven Clock Gating for Digital Filters.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2009

Placement-aware Clustering for Integrated Clock and Power Gating.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

Enabling concurrent clock and power gating in an industrial design flow.
Proceedings of the Design, Automation and Test in Europe, 2009

2008
Dynamic Thermal Clock Skew Compensation Using Tunable Delay Buffers.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Thermal-Aware Design Techniques for Nanometer CMOS Circuits.
J. Low Power Electron., 2008

Implementation of a thermal management unit for canceling temperature-dependent clock skew variations.
Integr., 2008

Temporal Discharge Current Driven Clustering for Improved Leakage Power Reduction in Row-Based Power-Gating.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2008

Multiple power-gating domain (multi-VGND) architecture for improved leakage power reduction.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008

On quantifying the figures of merit of power-gating for leakage power minimization in nanometer CMOS circuits.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Optimal sleep transistor synthesis under timing and area constraints.
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008

Integrating Clock Gating and Power Gating for Combined Dynamic and Leakage Power Optimization in Digital CMOS Circuits.
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008

A Scalable Algorithmic Framework for Row-Based Power-Gating.
Proceedings of the Design, Automation and Test in Europe, 2008

2007
New Adaptive Encoding Schemes for Switching Activity Balancing in On-Chip Buses.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2007

Timing-driven row-based power gating.
Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007

Design Exploration of a Thermal Management Unit for Dynamic Control of Temperature-Induced Clock Skew.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Design of a family of sleep transistor cells for a clustered power-gating flow in 65nm technology.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

Interactive presentation: Efficient computation of discharge current upper bounds for clustered sleep transistor sizing.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2006
Dynamic Management of Thermally-Induced Clock Skew: An Implementation Perspective.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2006

Implications of ultra low-voltage devices on design techniques for controlling leakage in NanoCMOS circuits.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Thermal resilient bounded-skew clock tree optimization methodology.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

Enabling fine-grain leakage management by voltage anchor insertion.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

2005
Low-power embedded systems.
J. Embed. Comput., 2005

Design and Implementation of a Memory Generator for Low-Energy Application-Specific Block-Enabled SRAMs.
Proceedings of the Integrated Circuit and System Design, 2005

Exploring the impact of architectural parameters on energy efficiency of application-specific block-enabled SRAMs.
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005

Low-overhead state-retaining elements for low-leakage MTCMOS design.
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005

2004
Memory energy minimization by data compression: algorithms, architectures and implementation.
IEEE Trans. Very Large Scale Integr. Syst., 2004

Post-layout leakage power minimization based on distributed sleep transistor insertion.
Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004

Block-Enabled Memory Macros: Design Space Exploration and Application-Specific Tuning.
Proceedings of the 2004 Design, 2004

Energy-Efficient Shared Memory Architectures for Multi-Processor Systems-On-Chip.
Proceedings of the Ultra Low-Power Electronics and Design, 2004

2003
Scheduling battery usage in mobile systems.
IEEE Trans. Very Large Scale Integr. Syst., 2003

Energy-aware design of embedded memories: A survey of technologies, architectures, and optimization techniques.
ACM Trans. Embed. Comput. Syst., 2003

Discharge Current Steering for Battery Lifetime Optimization.
IEEE Trans. Computers, 2003

A Statistic Power Model for Non-synthetic RTL Operators.
Proceedings of the Integrated Circuit and System Design, 2003

Hardw are Implementation of Data Compression Algorithms for Memory Energy Optimization.
Proceedings of the 2003 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2003), 2003

Energy-efficient data scrambling on memory-processor interfaces.
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003

Increasing the locality of memory access patterns by low-overhead hardware address relocation.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

A novel architecture for power maskable arithmetic units.
Proceedings of the 13th ACM Great Lakes Symposium on VLSI 2003, 2003

Improving the Efficiency of Memory Partitioning by Address Clustering.
Proceedings of the 2003 Design, 2003

A New Algorithm for Energy-Driven Data Compression in VLIW Embedded Processors.
Proceedings of the 2003 Design, 2003

Energy-aware design techniques for differential power analysis protection.
Proceedings of the 40th Design Automation Conference, 2003

2002
Minimizing memory access energy in embedded systems by selective instruction compression.
IEEE Trans. Very Large Scale Integr. Syst., 2002

Layout-driven memory synthesis for embedded systems-on-chip.
IEEE Trans. Very Large Scale Integr. Syst., 2002

Offline Data Profiling Techniques to Enhance Memory Compression in Embedded Systems.
Proceedings of the Integrated Circuit Design. Power and Timing Modeling, 2002

Discharge current steering for battery lifetime optimization.
Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002

An adaptive data compression scheme for memory traffic minimization in processor-based systems.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Enhanced clustered voltage scaling for low power.
Proceedings of the 12th ACM Great Lakes Symposium on VLSI 2002, 2002

Hardware-Assisted Data Compression for Energy Minimization in Systems with Embedded Processors.
Proceedings of the 2002 Design, 2002

Memory design techniques for low energy embedded systems.
Kluwer, ISBN: 978-0-7923-7690-3, 2002

2001
Stream synthesis for efficient power simulation based on spectral transforms.
IEEE Trans. Very Large Scale Integr. Syst., 2001

Discrete-time battery models for system-level low-power design.
IEEE Trans. Very Large Scale Integr. Syst., 2001

Battery-Driven Dynamic Power Management.
IEEE Des. Test Comput., 2001

Cached-code compression for energy minimization in embedded processors.
Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001

Current-controlled policies for battery-driven dynamic power management.
Proceedings of the 2001 8th IEEE International Conference on Electronics, 2001

Extending lifetime of portable systems by battery scheduling.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001

From Architecture to Layout: Partitioned Memory Synthesis for Embedded Systems-on-Chip.
Proceedings of the 38th Design Automation Conference, 2001

2000
Glitch power minimization by selective gate freezing.
IEEE Trans. Very Large Scale Integr. Syst., 2000

Architectures and synthesis algorithms for power-efficient businterfaces.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

Increasing Energy Efficiency of Embedded Systems by Application-Specific Memory Hierarchy Generation.
IEEE Des. Test Comput., 2000

Battery-Driven Dynamic Power Management of Portable Systems.
Proceedings of the 13th International Symposium on System Synthesis, 2000

A recursive algorithm for low-power memory partitioning.
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000

Supporting system-level power exploration for DSP applications.
Proceedings of the 10th ACM Great Lakes Symposium on VLSI 2000, 2000

A Discrete-Time Battery Model for High-Level Power Estimation.
Proceedings of the 2000 Design, 2000

Synthesis of application-specific memories for power optimization in embedded systems.
Proceedings of the 37th Conference on Design Automation, 2000

1999
Selective instruction compression for memory energy reduction in embedded systems.
Proceedings of the 1999 International Symposium on Low Power Electronics and Design, 1999

Peak power constrained test sets: generation heuristics and experiments.
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999

Low-power implementation of a residue-to-weighted conversion unit for a 5-moduli RNS.
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999

Regression-Based Macromodeling for Delay Estimation of Behavioral Components.
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999

Region Compression: A New Scheme for Memory Energy Minimization in Embedded Systems.
Proceedings of the 25th EUROMICRO '99 Conference, 1999

Glitch Power Minimization by Gate Freezing.
Proceedings of the 1999 Design, 1999

Synthesis of Low-Overhead Interfaces for Power-Efficient Communication over Wide Buses.
Proceedings of the 36th Conference on Design Automation, 1999

1998
Symbolic algorithms for layout-oriented synthesis of pass transistor logic circuits.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998

Reducing Power Consumption of Dedicated Processors Through Instruction Set Encoding.
Proceedings of the 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 1998


  Loading...