Massimo Poncino

Orcid: 0000-0002-1369-9688

According to our database1, Massimo Poncino authored at least 329 papers between 1991 and 2024.

Collaborative distances:

Awards

IEEE Fellow

IEEE Fellow 2018, "For contributions to low-power circuits and systems".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Dynamic Decision Tree Ensembles for Energy-Efficient Inference on IoT Edge Nodes.
IEEE Internet Things J., January, 2024

Integrating SystemC-AMS Power Modeling with a RISC-V ISS for Virtual Prototyping of Battery-operated Embedded Devices.
CoRR, 2024

Optimized Deployment of Deep Neural Networks for Visual Pose Estimation on Nano-drones.
CoRR, 2024

HW-SW Optimization of DNNs for Privacy-preserving People Counting on Low-resolution Infrared Arrays.
CoRR, 2024

2023
A Framework for Economic and Environmental Benefit Through Renewable Energy Community.
IEEE Syst. J., December, 2023

Efficient Deep Learning Models for Privacy-Preserving People Counting on Low-Resolution Infrared Arrays.
IEEE Internet Things J., August, 2023

Multi-Criteria Coordinated Electric Vehicle-Drone Hybrid Delivery Service Planning.
IEEE Trans. Veh. Technol., May, 2023

Lightweight Neural Architecture Search for Temporal Convolutional Networks at the Edge.
IEEE Trans. Computers, March, 2023

Reducing the Energy Consumption of sEMG-Based Gesture Recognition at the Edge Using Transformers and Dynamic Inference.
Sensors, February, 2023

Precision-aware Latency and Energy Balancing on Multi-Accelerator Platforms for DNN Inference.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023

Model-Driven Dataset Generation for Data-Driven Battery SOH Models.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023

Energy-efficient Wearable-to-Mobile Offload of ML Inference for PPG-based Heart-Rate Estimation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

2022
Digital Transformation of a Production Line: Network Design, Online Data Collection and Energy Monitoring.
IEEE Trans. Emerg. Top. Comput., 2022

Human Activity Recognition on Microcontrollers with Quantized and Adaptive Deep Neural Networks.
ACM Trans. Embed. Comput. Syst., 2022

Traffic Load Estimation from Structural Health Monitoring sensors using supervised learning.
Sustain. Comput. Informatics Syst., 2022

A Smart Meter Infrastructure for Smart Grid IoT Applications.
IEEE Internet Things J., 2022

Embedding Temporal Convolutional Networks for Energy-efficient PPG-based Heart Rate Monitoring.
ACM Trans. Comput. Heal., 2022

Two-stage Human Activity Recognition on Microcontrollers with Decision Trees and CNNs.
Proceedings of the 17th Conference on Ph.D Research in Microelectronics and Electronics, 2022

Multi-Complexity-Loss DNAS for Energy-Efficient and Memory-Constrained Deep Neural Networks.
Proceedings of the ISLPED '22: ACM/IEEE International Symposium on Low Power Electronics and Design, Boston, MA, USA, August 1, 2022

Privacy-preserving Social Distance Monitoring on Microcontrollers with Low-Resolution Infrared Sensors and CNNs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

C-NMT: A Collaborative Inference Framework for Neural Machine Translation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Channel-wise Mixed-precision Assignment for DNN Inference on Constrained Edge Nodes.
Proceedings of the 13th IEEE International Green and Sustainable Computing Conference, 2022

Quality inspection of critical aircraft engine components: towards full automation.
Proceedings of the 27th IEEE International Conference on Emerging Technologies and Factory Automation, 2022

Bioformers: Embedding Transformers for Ultra-Low Power sEMG-based Gesture Recognition.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

A Machine Learning-based Digital Twin for Electric Vehicle Battery Modeling.
Proceedings of the IEEE International Conference on Omni-layer Intelligent Systems, 2022

Improving PPG-based Heart-Rate Monitoring with Synthetically Generated Data.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2022

2021
A Microservices-Based Framework for Smart Design and Optimization of PV Installations.
IEEE Trans. Sustain. Comput., 2021

Assessing the Impact of Sensor-Based Task Scheduling on Battery Lifetime in IoT Devices.
IEEE Trans. Instrum. Meas., 2021

Low-Overhead Adaptive Brightness Scaling for Energy Reduction in OLED Displays.
IEEE Trans. Emerg. Top. Comput., 2021

CRIME: Input-Dependent Collaborative Inference for Recurrent Neural Networks.
IEEE Trans. Computers, 2021

Q-PPG: Energy-Efficient PPG-Based Heart Rate Monitoring on Wearable Devices.
IEEE Trans. Biomed. Circuits Syst., 2021

Manufacturing as a Data-Driven Practice: Methodologies, Technologies, and Tools.
Proc. IEEE, 2021

Enhancing manufacturing intelligence through an unsupervised data-driven methodology for cyclic industrial processes.
Expert Syst. Appl., 2021

Chapter Eight - Energy-efficient deep learning inference on edge devices.
Adv. Comput., 2021

Low-Overhead Early-Stopping Policies for Efficient Random Forests Inference on Microcontrollers.
Proceedings of the VLSI-SoC: Technology Advancement on SoC Design, 2021

Adaptive Random Forests for Energy-Efficient Inference on Microcontrollers.
Proceedings of the 29th IFIP/IEEE International Conference on Very Large Scale Integration, 2021

ACME: An Energy-Efficient Approximate Bus Encoding for I<sup>2</sup>C.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2021

TCN Mapping Optimization for Ultra-Low Power Time-Series Edge Inference.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2021

Robust and Energy-Efficient PPG-Based Heart-Rate Monitoring.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Digital Twin Extension with Extra-Functional Properties.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Pruning In Time (PIT): A Lightweight Network Architecture Optimizer for Temporal Convolutional Networks.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Design of District-level Photovoltaic Installations for Optimal Power Production and Economic Benefit.
Proceedings of the IEEE 45th Annual Computers, Software, and Applications Conference, 2021

Ultra-compact binary neural networks for human activity recognition on RISC-V processors.
Proceedings of the CF '21: Computing Frontiers Conference, 2021

2020
Modeling and Simulation of Cyber-Physical Electrical Energy Systems With SystemC-AMS.
IEEE Trans. Sustain. Comput., 2020

Logic Synthesis of Pass-Gate Logic Circuits With Emerging Ambipolar Technologies.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Energy-Efficient Adaptive Machine Learning on IoT End-Nodes With Class-Dependent Confidence.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

Predicting Hard Disk Failures in Data Centers Using Temporal Convolutional Neural Networks.
Proceedings of the Euro-Par 2020: Parallel Processing Workshops, 2020

A Diode-Aware Model of PV Modules from Datasheet Specifications.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Input-Dependent Edge-Cloud Mapping of Recurrent Neural Networks Inference.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Optimal Configuration and Placement of PV Systems in Building Roofs with Cost Analysis.
Proceedings of the 44th IEEE Annual Computers, Software, and Applications Conference, 2020

2019
Battery-Aware Operation Range Estimation for Terrestrial and Aerial Electric Vehicles.
IEEE Trans. Veh. Technol., 2019

A Cross-level Verification Methodology for Digital IPs Augmented with Embedded Timing Monitors.
ACM Trans. Design Autom. Electr. Syst., 2019

SystemC-AMS Thermal Modeling for the Co-simulation of Functional and Extra-Functional Properties.
ACM Trans. Design Autom. Electr. Syst., 2019

Fine-Grain Back Biasing for the Design of Energy-Quality Scalable Operators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Automated Synthesis of Energy-Efficient Reconfigurable-Precision Circuits.
IEEE Access, 2019

A SystemC-AMS Framework for the Design and Simulation of Energy Management in Electric Vehicles.
IEEE Access, 2019

CNN-Based Camera-less User Attention Detection for Smartphone Power Management.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

Battery-Aware Electric Truck Delivery Route Planner.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

Optimal Input-Dependent Edge-Cloud Partitioning for RNN Inference.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

A Semi-Empirical Model of PV Modules Including Manufacturing I-V Mismatch.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

Dynamic Beam Width Tuning for Energy-Efficient Recurrent Neural Networks.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

Irradiance-Driven Partial Reconfiguration of PV Panels.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Low-Overhead Power Trace Obfuscation for Smart Meter Privacy.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
Thermal Management of Batteries Using Supercapacitor Hybrid Architecture With Idle Period Insertion Strategy.
IEEE Trans. Very Large Scale Integr. Syst., 2018

LAPSE: Low-Overhead Adaptive Power Saving and Contrast Enhancement for OLEDs.
IEEE Trans. Image Process., 2018

Empirical derivation of upper and lower bounds of NBTI aging for embedded cores.
Microelectron. Reliab., 2018

Composable Battery Model Templates Based on Manufacturers' Data.
IEEE Des. Test, 2018

Aging and Cost Optimal Residential Charging for Plug-In EVs.
IEEE Des. Test, 2018

iSTEP, an Integrated Self-Tuning Engine for Predictive Maintenance in Industry 4.0.
Proceedings of the IEEE International Conference on Parallel & Distributed Processing with Applications, 2018

Dynamic Bit-width Reconfiguration for Energy-Efficient Deep Learning Hardware.
Proceedings of the International Symposium on Low Power Electronics and Design, 2018

Battery-Aware Energy Model of Drone Delivery Tasks.
Proceedings of the International Symposium on Low Power Electronics and Design, 2018

A Compact PV Panel Model for Cyber-Physical Systems in Smart Cities.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Application-Driven Synthesis of Energy-Efficient Reconfigurable-Precision Operators.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Fundamental Feature Extraction of the Battery Charge Phase from Product Data.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Optimal Topology-Aware PV Panel Floorplanning with Hybrid Orientation.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

Battery-aware Design Exploration of Scheduling Policies for Multi-sensor Devices.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

GIS-based optimal photovoltaic panel floorplanning for residential installations.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

All-digital embedded meters for on-line power estimation.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
Approximate Energy-Efficient Encoding for Serial Interfaces.
ACM Trans. Design Autom. Electr. Syst., 2017

A Layered Methodology for the Simulation of Extra-Functional Properties in Smart Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

CONTREX: Design of embedded mixed-criticality CONTRol systems under consideration of EXtra-functional properties.
Microprocess. Microsystems, 2017

A Modular Framework for Battery Modeling in Electronic Designs.
J. Low Power Electron., 2017

Optimal content-dependent dynamic brightness scaling for OLED displays.
Proceedings of the 27th International Symposium on Power and Timing Modeling, 2017

Workload-driven frequency-aware battery sizing.
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017

A methodology for the design of dynamic accuracy operators by runtime back bias.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

A circuit-equivalent battery model accounting for the dependency on load frequency.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
Quasi-Adiabatic Logic Arrays for Silicon and Beyond-Silicon Energy-Efficient ICs.
IEEE Trans. Circuits Syst. II Express Briefs, 2016

Logic Synthesis for Silicon and Beyond-Silicon Multi-gate Pass-Logic Circuits.
Proceedings of the VLSI-SoC: System-on-Chip in the Nanoscale Era - Design, Verification and Reliability, 2016

Multi-function logic synthesis of silicon and beyond-silicon ultra-low power pass-gates circuits.
Proceedings of the 2016 IFIP/IEEE International Conference on Very Large Scale Integration, 2016

Frequency domain characterization of batteries for the design of energy storage subsystems.
Proceedings of the 2016 IFIP/IEEE International Conference on Very Large Scale Integration, 2016

A Li-Ion Battery Charge Protocol with Optimal Aging-Quality of Service Trade-off.
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016

Enabling quasi-adiabatic logic arrays for silicon and beyond-silicon technologies.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

A Unified Model of Power Sources for the Simulation of Electrical Energy Systems.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016

Graphene-PLA (GPLA): a Compact and Ultra-Low Power Logic Array Architecture.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016

Approximate Differential Encoding for Energy-Efficient Serial Communication.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016

Fast Thermal Simulation using SystemC-AMS.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016

IP-XACT for smart systems design: extensions for the integration of functional and extra-functional models.
Proceedings of the 2016 Forum on Specification and Design Languages, 2016

CONTREX: Design of Embedded Mixed-Criticality CONTRol Systems under Consideration of EXtra-Functional Properties.
Proceedings of the 2016 Euromicro Conference on Digital System Design, 2016

Low-overhead adaptive constrast enhancement and power reduction for OLEDs.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Serial T0: approximate bus encoding for energy-efficient transmission of sensor signals.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2015
A Statistical Model-Based Cell-to-Cell Variability Management of Li-ion Battery Pack.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Ultra-low power circuits using graphene p-n junctions and adiabatic computing.
Microprocess. Microsystems, 2015

Addressing the Smart Systems design challenge: The SMAC platform.
Microprocess. Microsystems, 2015

A Temperature-Aware Battery Cycle Life Model for Different Battery Chemistries.
Proceedings of the VLSI-SoC: Design for Reliability, Security, and Low Power, 2015

An equation-based battery cycle life model for various battery chemistries.
Proceedings of the 2015 IFIP/IEEE International Conference on Very Large Scale Integration, 2015

An automated design flow for approximate circuits based on reduced precision redundancy.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

An aging-aware battery charge scheme for mobile devices exploiting plug-in time patterns.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Design and Characterization of Analog-to-Digital Converters using Graphene P-N Junctions.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

Exploiting the Expressive Power of Graphene Reconfigurable Gates via Post-Synthesis Optimization.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

Characterizing the Activity Factor in NBTI Aging Models for Embedded Cores.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

One-pass logic synthesis for graphene-based Pass-XNOR logic circuits.
Proceedings of the 52nd Annual Design Automation Conference, 2015

2014
Energy/Lifetime Cooptimization by Cache Partitioning With Graceful Performance Degradation.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Dynamic Indexing: Leakage-Aging Co-Optimization for Caches.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Row-based body-bias assignment for dynamic thermal clock-skew compensation.
Microelectron. J., 2014

Modeling of Physical Defects in PN Junction Based Graphene Devices.
J. Electron. Test., 2014

A framework for efficient evaluation and comparison of EES Models.
Proceedings of the 24th International Workshop on Power and Timing Modeling, 2014

An open-source framework for formal specification and simulation of electrical energy systems.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014

A compact macromodel for the charge phase of a battery with typical charging protocol.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014

Multi-domain simulation as a foundation for the engineering of smart systems: Challenges and the SMAC vision.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

Automated generation of battery aging models from datasheets.
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014

Modeling of the charging behavior of li-ion batteries based on manufacturer's data.
Proceedings of the Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21, 2014

Ultra Low-Power Computation via Graphene-Based Adiabatic Logic Gates.
Proceedings of the 17th Euromicro Conference on Digital System Design, 2014

Pass-XNOR logic: A new logic style for P-N junction based graphene circuits.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Thermal management of batteries using a hybrid supercapacitor architecture.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Cache aging reduction with improved performance using dynamically re-sizable cache.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

A cross-level verification methodology for digital IPs augmented with embedded timing monitors.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Statistical Battery Models and Variation-Aware Battery Management.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
Layout-Driven Post-Placement Techniques for Temperature Reduction and Thermal Gradient Minimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Modeling and characterization of thermally induced skew on clock distribution networks of nanometric ICs.
Microelectron. J., 2013

A fully standard-cell delay measurement circuit for timing variability detection.
Proceedings of the 2013 23rd International Workshop on Power and Timing Modeling, 2013

A framework with temperature-aware accuracy levels for battery modeling from datasheets.
Proceedings of the 2013 23rd International Workshop on Power and Timing Modeling, 2013

Power modeling and characterization of Graphene-based logic gates.
Proceedings of the 2013 23rd International Workshop on Power and Timing Modeling, 2013

Investigating the behavior of physical defects in pn-junction based reconfigurable graphene devices.
Proceedings of the 14th Latin American Test Workshop, 2013

A statistical model of cell-to-cell variation in Li-ion batteries for system-level design.
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013

An automated framework for generating variable-accuracy battery models from datasheet information.
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013

Exploration of different implementation styles for graphene-based reconfigurable gates.
Proceedings of 2013 International Conference on IC Design & Technology, 2013

Computer-aided design of electrical energy systems.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

Delay model for reconfigurable logic gates based on graphene PN-junctions.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013

SMAC: Smart Systems Co-design.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013

A verilog-a model for reconfigurable logic gates based on graphene pn-junctions.
Proceedings of the Design, Automation and Test in Europe, 2013

Energy-optimal SRAM supply voltage scheduling under lifetime and error constraints.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
Design Techniques for NBTI-Tolerant Power-Gating Architectures.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

Design Techniques and Architectures for Low-Leakage SRAMs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2012

On-chip process variation-tracking through an all-digital monitoring architecture.
IET Circuits Devices Syst., 2012

Aging-aware caches with graceful degradation of performance.
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012

On-Chip NBTI and PBTI Tracking through an All-Digital Aging Monitor Architecture.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2012

Energy-optimal caches with guaranteed lifetime.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

NBTI effects on tree-like clock distribution networks.
Proceedings of the Great Lakes Symposium on VLSI 2012, 2012

Multiple-source and multiple-destination charge migration in hybrid electrical energy storage systems.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Investigating the effects of Inverted Temperature Dependence (ITD) on clock distribution networks.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

IR-drop analysis of graphene-based power distribution networks.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Application-specific memory partitioning for joint energy and lifetime optimization.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
Row-Based Power-Gating: A Novel Sleep Transistor Insertion Methodology for Leakage Power Optimization in Nanometer CMOS Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Fast Computation of Discharge Current Upper Bounds for Clustered Power Gating.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Power Efficient Variability Compensation Through Clustered Tunable Power-Gating.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2011

Sub-Row Sleep Transistor Insertion for Concurrent Clock-Gating and Power-Gating.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2011

An On-Chip All-Digital PV-Monitoring Architecture for Digital IPs.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2011

Balanced reconfiguration of storage banks in a hybrid electrical energy storage system.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

Buffering of frequent accesses for reduced cache aging.
Proceedings of the 21st ACM Great Lakes Symposium on VLSI 2010, 2011

Moving to Green ICT: From stand-alone power-aware IC design to an integrated approach to energy efficient design for heterogeneous electronic systems.
Proceedings of the Design, Automation and Test in Europe, 2011

Partitioned cache architectures for reduced NBTI-induced aging.
Proceedings of the Design, Automation and Test in Europe, 2011

System level techniques to improve reliability in high power microcontrollers for automotive applications.
Proceedings of the Design, Automation and Test in Europe, 2011

2010
Temperature-Insensitive Dual- V<sub>th</sub> Synthesis for Nanometer CMOS Technologies Under Inverse Temperature Dependence.
IEEE Trans. Very Large Scale Integr. Syst., 2010

NBTI-Aware Clustered Power Gating.
ACM Trans. Design Autom. Electr. Syst., 2010

Thermal-Aware Clock Tree Design to Increase Timing Reliability of Embedded SoCs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

Architectural Leakage Power Minimization of Scratchpad Memories by Application-Driven Subbanking.
IEEE Trans. Computers, 2010

Dual-V<sub>t</sub> assignment policies in ITD-aware synthesis.
Microelectron. J., 2010

A Refinement Methodology for Clock Gating Optimization at Layout Level in Digital Circuits.
J. Low Power Electron., 2010

Dynamic indexing: concurrent leakage and aging optimization for caches.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

Analysis of NBTI-induced SNM degradation in power-gated SRAM cells.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Thermal-aware floorplanning exploration for 3D multi-core architectures.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

Aging effects of leakage optimizations for caches.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

An integrated thermal estimation framework for industrial embedded platforms.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

Post-placement temperature reduction techniques.
Proceedings of the Design, Automation and Test in Europe, 2010

2009
Tag Overflow Buffering: Reducing Total Memory Energy by Reduced-Tag Matching.
IEEE Trans. Very Large Scale Integr. Syst., 2009

A cosimulation methodology for HW/SW validation and performance estimation.
ACM Trans. Design Autom. Electr. Syst., 2009

Design of a Flexible Reactivation Cell for Safe Power-Mode Transition in Power-Gated Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., 2009

Exploiting Temporal Discharge Current Information to Improve the Efficiency of Clustered Power-Gating.
J. Low Power Electron., 2009

Reducing Timing Overhead in Simultaneously Clock-Gated and Power-Gated Designs by Placement-Aware Clustering.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2009

On-chip Thermal Modeling Based on SPICE Simulation.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2009

Data-Driven Clock Gating for Digital Filters.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2009

NBTI-aware power gating for concurrent leakage and aging optimization.
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009

Placement-aware Clustering for Integrated Clock and Power Gating.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

Energy-optimal synchronization primitives for single-chip multi-processors.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

Using soft-edge flip-flops to compensate NBTI-induced delay degradation.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

NBTI-aware sleep transistor design for reliable power-gating.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

Enabling concurrent clock and power gating in an industrial design flow.
Proceedings of the Design, Automation and Test in Europe, 2009

2008
Dynamic Thermal Clock Skew Compensation Using Tunable Delay Buffers.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Thermal-Aware Design Techniques for Nanometer CMOS Circuits.
J. Low Power Electron., 2008

Implementation of a thermal management unit for canceling temperature-dependent clock skew variations.
Integr., 2008

Temporal Discharge Current Driven Clustering for Improved Leakage Power Reduction in Row-Based Power-Gating.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2008

Multiple power-gating domain (multi-VGND) architecture for improved leakage power reduction.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008

Reducing leakage power by accounting for temperature inversion dependence in dual-Vt synthesized circuits.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008

On quantifying the figures of merit of power-gating for leakage power minimization in nanometer CMOS circuits.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Optimal sleep transistor synthesis under timing and area constraints.
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008

Energy efficiency bounds of pulse-encoded buses.
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008

Temperature-insensitive synthesis using multi-vt libraries.
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008

Integrating Clock Gating and Power Gating for Combined Dynamic and Leakage Power Optimization in Digital CMOS Circuits.
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008

A Scalable Algorithmic Framework for Row-Based Power-Gating.
Proceedings of the Design, Automation and Test in Europe, 2008

2007
Power macromodeling of MPSoC message passing primitives.
ACM Trans. Embed. Comput. Syst., 2007

Energy-Efficient Multiprocessor Systems-on-Chip for Embedded Computing: Exploring Programming Models and Their Architectural Support.
IEEE Trans. Computers, 2007

SystemC co-simulation for core-based embedded systems.
Des. Autom. Embed. Syst., 2007

Timing-driven row-based power gating.
Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007

Locality-driven architectural cache sub-banking for leakage energy reduction.
Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007

Design Exploration of a Thermal Management Unit for Dynamic Control of Temperature-Induced Clock Skew.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

On the energy efficiency of synchronization primitives for shared-memory single-chip multiprocessors.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

Design of a family of sleep transistor cells for a clustered power-gating flow in 65nm technology.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

Interactive presentation: Efficient computation of discharge current upper bounds for clustered sleep transistor sizing.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

Architectural leakage-aware management of partitioned scratchpad memories.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2006
Cache coherence tradeoffs in shared-memory MPSoCs.
ACM Trans. Embed. Comput. Syst., 2006

Low-energy RGB color approximation for digital LCD interfaces.
IEEE Trans. Consumer Electron., 2006

Reducing Conflict Misses by Application-Specific Reconfigurable Indexing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Energy-Efficient Value Based Selective Refresh for Embedded DRAMS.
J. Low Power Electron., 2006

Dynamic Management of Thermally-Induced Clock Skew: An Implementation Perspective.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2006

Synchronization-driven dynamic speed scaling for MPSoCs.
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006

Low-energy pixel approximation for DVI-based LCD interfaces.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Implications of ultra low-voltage devices on design techniques for controlling leakage in NanoCMOS circuits.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

STV-Cache: a leakage energy-efficient architecture for data caches.
Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30, 2006

ISS-centric modular HW/SW co-simulation.
Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30, 2006

Thermal resilient bounded-skew clock tree optimization methodology.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

2005
Energy-efficient bus encoding for LCD digital display interfaces.
IEEE Trans. Consumer Electron., 2005

Exploiting Cross-Channel Correlation for Energy-Efficient LCD Bus Encoding.
Proceedings of the Integrated Circuit and System Design, 2005

Frame Buffer Energy Optimization by Pixel Prediction.
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005

Energy-Efficient Color Approximation for Digital LCD Interfaces.
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005

Zero clustering: an approach to extend zero compression to instruction caches.
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005

Exploring the energy efficiency of cache coherence protocols in single-chip multi-processors.
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005

Exploring Energy/Performance Tradeoffs in Shared Memory MPSoCs: Snoop-Based Cache Coherence vs. Software Solutions.
Proceedings of the 2005 Design, 2005

Tag Overflow Buffering: An Energy-Efficient Cache Architecture.
Proceedings of the 2005 Design, 2005

Virtual Hardware Prototyping through Timed Hardware-Software Co-Simulation.
Proceedings of the 2005 Design, 2005

2004
A Low-Power Encoding Scheme for GigaByte Video Interfaces.
Proceedings of the Integrated Circuit and System Design, 2004

Limited intra-word transition codes: an energy-efficient bus encoding for LCD display interfaces.
Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004

Crosstalk energy reduction by temporal shielding.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

Energy-performance tradeoffs for the shared memory in multi-processor systems-on-chip.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

Analyzing Power Consumption of Message Passing Primitives in a Single-Chip Multiprocessor.
Proceedings of the 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 2004

Software/Network Co-Simulation of Heterogeneous Industrial Networks Architectures.
Proceedings of the 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 2004

DynamoSim: a trace-based dynamically compiled instruction set simulator.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

Reducing cache misses by application-specific re-configurable indexing.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

Cycle-accurate power analysis for multiprocessor systems-on-a-chip.
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004

Energy-efficient bus encoding for LCD displays.
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004

Synthesis of Partitioned Shared Memory Architectures for Energy-Efficient Multi-Processor SoC.
Proceedings of the 2004 Design, 2004

Heterogeneous Co-Simulation of Networked Embedded Systems.
Proceedings of the 2004 Design, 2004

Native ISS-SystemC Integration for the Co-Simulation of Multi-Processor SoC.
Proceedings of the 2004 Design, 2004

Modeling and Analysis of Heterogeneous Industrial Networks Architectures.
Proceedings of the 2004 Design, 2004

Energy-Efficient Shared Memory Architectures for Multi-Processor Systems-On-Chip.
Proceedings of the Ultra Low-Power Electronics and Design, 2004

2003
Scheduling battery usage in mobile systems.
IEEE Trans. Very Large Scale Integr. Syst., 2003

Energy-aware design of embedded memories: A survey of technologies, architectures, and optimization techniques.
ACM Trans. Embed. Comput. Syst., 2003

Discharge Current Steering for Battery Lifetime Optimization.
IEEE Trans. Computers, 2003

SystemC Cosimulation and Emulation of Multiprocessor SoC Designs.
Computer, 2003

A Statistic Power Model for Non-synthetic RTL Operators.
Proceedings of the Integrated Circuit and System Design, 2003

A SystemC-based Framework for Properties Incompleteness Evaluation.
Proceedings of the Fourth International Workshop on Microprocessor Test and Verification, 2003

Energy-efficient data scrambling on memory-processor interfaces.
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003

Increasing the locality of memory access patterns by low-overhead hardware address relocation.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Combining wire swapping and spacing for low-power deep-submicron buses.
Proceedings of the 13th ACM Great Lakes Symposium on VLSI 2003, 2003

A novel architecture for power maskable arithmetic units.
Proceedings of the 13th ACM Great Lakes Symposium on VLSI 2003, 2003

Improving the Efficiency of Memory Partitioning by Address Clustering.
Proceedings of the 2003 Design, 2003

Estimation of Bus Performance for a Tuplespace in an Embedded Architecture.
Proceedings of the 2003 Design, 2003

A timing-accurate modeling and simulation environment for networked embedded systems.
Proceedings of the 40th Design Automation Conference, 2003

Energy-aware design techniques for differential power analysis protection.
Proceedings of the 40th Design Automation Conference, 2003

2002
Minimizing memory access energy in embedded systems by selective instruction compression.
IEEE Trans. Very Large Scale Integr. Syst., 2002

Layout-driven memory synthesis for embedded systems-on-chip.
IEEE Trans. Very Large Scale Integr. Syst., 2002

Discharge current steering for battery lifetime optimization.
Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002

Legacy SystemC Co-Simulation of Multi-Processor Systems-on-Chip.
Proceedings of the 20th International Conference on Computer Design (ICCD 2002), 2002

Enhanced clustered voltage scaling for low power.
Proceedings of the 12th ACM Great Lakes Symposium on VLSI 2002, 2002

Wire Placement for Crosstalk Energy Minimization in Address Buses.
Proceedings of the 2002 Design, 2002

Memory design techniques for low energy embedded systems.
Kluwer, ISBN: 978-0-7923-7690-3, 2002

2001
Stream synthesis for efficient power simulation based on spectral transforms.
IEEE Trans. Very Large Scale Integr. Syst., 2001

Parameterized RTL power models for soft macros.
IEEE Trans. Very Large Scale Integr. Syst., 2001

Discrete-time battery models for system-level low-power design.
IEEE Trans. Very Large Scale Integr. Syst., 2001

Synthesis of power-managed sequential components based oncomputational kernel extraction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

Low-energy for deep-submicron address buses.
Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001

Current-controlled policies for battery-driven dynamic power management.
Proceedings of the 2001 8th IEEE International Conference on Electronics, 2001

Fast characterization of RTL power macromodels.
Proceedings of the 2001 8th IEEE International Conference on Electronics, 2001

Extending lifetime of portable systems by battery scheduling.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001

From Architecture to Layout: Partitioned Memory Synthesis for Embedded Systems-on-Chip.
Proceedings of the 38th Design Automation Conference, 2001

2000
Glitch power minimization by selective gate freezing.
IEEE Trans. Very Large Scale Integr. Syst., 2000

Symbolic optimization of interacting controllers based onredundancy identification and removal.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

Architectures and synthesis algorithms for power-efficient businterfaces.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

A multilevel engine for fast power simulation of realistic inputstreams.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

Increasing Energy Efficiency of Embedded Systems by Application-Specific Memory Hierarchy Generation.
IEEE Des. Test Comput., 2000

Power Models for Semi-autonomous RTL Macros.
Proceedings of the Integrated Circuit Design, 2000

RTL Estimation of Steering Logic Power.
Proceedings of the Integrated Circuit Design, 2000

Power Macromodeling for a High Quality RT-Level Power Estimation.
Proceedings of the 1st International Symposium on Quality of Electronic Design (ISQED 2000), 2000

A recursive algorithm for low-power memory partitioning.
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000

Supporting system-level power exploration for DSP applications.
Proceedings of the 10th ACM Great Lakes Symposium on VLSI 2000, 2000

Regression-based RTL power models for controllers.
Proceedings of the 10th ACM Great Lakes Symposium on VLSI 2000, 2000

A Discrete-Time Battery Model for High-Level Power Estimation.
Proceedings of the 2000 Design, 2000

Synthesis of application-specific memories for power optimization in embedded systems.
Proceedings of the 37th Conference on Design Automation, 2000

1999
Symbolic synthesis of clock-gating logic for power optimization of synchronous controllers.
ACM Trans. Design Autom. Electr. Syst., 1999

Automatic Synthesis of Large Telescopic Units Based on Near-Minimum Timed Supersetting.
IEEE Trans. Computers, 1999

Selective instruction compression for memory energy reduction in embedded systems.
Proceedings of the 1999 International Symposium on Low Power Electronics and Design, 1999

Parameterized RTL power models for combinational soft macros.
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999

Regression-Based Macromodeling for Delay Estimation of Behavioral Components.
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999

Clustered Table-Based Macromodels for RTL Power Estimation.
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999

Region Compression: A New Scheme for Memory Energy Minimization in Embedded Systems.
Proceedings of the 25th EUROMICRO '99 Conference, 1999

Glitch Power Minimization by Gate Freezing.
Proceedings of the 1999 Design, 1999

Synthesis of Low-Overhead Interfaces for Power-Efficient Communication over Wide Buses.
Proceedings of the 36th Conference on Design Automation, 1999

Kernel-Based Power Optimization of RTL Components: Exact and Approximate Extraction Algorithms.
Proceedings of the 36th Conference on Design Automation, 1999

1998
Power optimization of core-based systems by address bus encoding.
IEEE Trans. Very Large Scale Integr. Syst., 1998

Telescopic units: a new paradigm for performance optimization of VLSI designs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998

Symbolic algorithms for layout-oriented synthesis of pass transistor logic circuits.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998

Reducing Power Consumption of Dedicated Processors Through Instruction Set Encoding.
Proceedings of the 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 1998

Timed Supersetting and the Synthesis of Telescopic Units.
Proceedings of the 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 1998

Power Estimation of Behavioral Descriptions.
Proceedings of the 1998 Design, 1998

Computational Kernels and their Application to Sequential Power Optimization.
Proceedings of the 35th Conference on Design Automation, 1998

1997
Testing Core-Based Systems: A Symbolic Methodology.
IEEE Des. Test Comput., 1997

System-level power optimization of special purpose applications: the beach solution.
Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997

Fast power estimation for deterministic input streams.
Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, 1997

Accurate Entropy Calculation for Large Logic Circuits Based on Output Clustering.
Proceedings of the 7th Great Lakes Symposium on VLSI (GLS-VLSI '97), 1997

Symbolic synthesis of clock-gating logic for power optimization of control-oriented synchronous networks.
Proceedings of the European Design and Test Conference, 1997

Telescopic Units: Increasing the Average Throughput of Pipelined Designs by Adaptive Latency Control.
Proceedings of the 34st Conference on Design Automation, 1997

A parallel approach to symbolic traversal based on set partitioning.
Proceedings of the Advances in Hardware Design and Verification, 1997

1996
Automatic state space decomposition for approximate FSM traversal based on circuit analysis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

Enhancing FSM Traversal by Temporary Re-Encoding.
Proceedings of the 1996 International Conference on Computer Design (ICCD '96), 1996

Exact Computation of the Entropy of a Logic Circuit.
Proceedings of the 6th Great Lakes Symposium on VLSI (GLS-VLSI '96), 1996

Test Generation for Networks of Interacting FSMs Using Symbolic Techniques.
Proceedings of the 6th Great Lakes Symposium on VLSI (GLS-VLSI '96), 1996

BDD-based testability estimation of VHDL designs.
Proceedings of the conference on European design automation, 1996

Symbolic Optimization of FSM Networks Based on Sequential ATPG Techniques.
Proceedings of the 33st Conference on Design Automation, 1996

1995
Using connectivity and spectral methods to characterize the structure of sequential logic circuits.
Microprocess. Microprogramming, 1995

Using symbolic Rademacher-Walsh spectral transforms to evaluate the correlation between Boolean functions.
Proceedings of the 5th Great Lakes Symposium on VLSI (GLS-VLSI '95), 1995

Estimating worst-case power consumption of CMOS circuits modeled as symbolic neural networks.
Proceedings of the 5th Great Lakes Symposium on VLSI (GLS-VLSI '95), 1995

Predicting the functional complexity of combinational circuits by symbolic spectral analysis of Boolean functions.
Proceedings of the Proceedings EURO-DAC'95, 1995

Computing the Maximum Power Cycles of a Sequential Circuit.
Proceedings of the 32st Conference on Design Automation, 1995

1994
A Structural Approach to State Space Decomposition for Approximate Reachability Analysis.
Proceedings of the Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1994

Re-encoding sequential circuits to reduce power dissipation.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994

An ADD-based algorithm for shortest path back-tracing of large graphs.
Proceedings of the Fourth Great Lakes Symposium on Design Automation of High Performance VLSI Systems, 1994

A State Space Decomposition Algorithm for Approximate FSM Traversal.
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994

1993
A study of the resetability of synchronous sequential circuits.
Microprocess. Microprogramming, 1993

On the Resetability of Synchronous Sequential Circuits.
Proceedings of the 1993 IEEE International Symposium on Circuits and Systems, 1993

1991
A hierarchical multi-level test generation system.
Proceedings of the First Great Lakes Symposium on VLSI, 1991


  Loading...