Andrea Calimera

Orcid: 0000-0001-5881-3811

According to our database1, Andrea Calimera authored at least 127 papers between 2007 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Automatic Layer Freezing for Communication Efficiency in Cross-Device Federated Learning.
IEEE Internet Things J., February, 2024

2023
Efficient Deep Learning Models for Privacy-Preserving People Counting on Low-Resolution Infrared Arrays.
IEEE Internet Things J., August, 2023

Communication-Efficient Federated Learning With Gradual Layer Freezing.
IEEE Embed. Syst. Lett., March, 2023

Reducing the Energy Consumption of sEMG-Based Gesture Recognition at the Edge Using Transformers and Dynamic Inference.
Sensors, February, 2023

Dynamic ConvNets on Tiny Devices via Nested Sparsity.
IEEE Internet Things J., 2023

Enabling DVFS Side-Channel Attacks for Neural Network Fingerprinting in Edge Inference Services.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023

Concurrent Pipeline Stages Optimization for Embedded Keyword Spotting.
Proceedings of the 2023 IEEE World AI IoT Congress (AIIoT), 2023

2022
Human Activity Recognition on Microcontrollers with Quantized and Adaptive Deep Neural Networks.
ACM Trans. Embed. Comput. Syst., 2022

Monocular Depth Perception on Microcontrollers for Edge Applications.
IEEE Trans. Circuits Syst. Video Technol., 2022

Energy-Quality Scalable Monocular Depth Estimation on Low-Power CPUs.
IEEE Internet Things J., 2022

Editorial: Language and Vision in Robotics: Emerging Neural and On-Device Approaches.
Frontiers Comput. Sci., 2022

Energy-efficient and Privacy-aware Social Distance Monitoring with Low-resolution Infrared Sensors and Adaptive Inference.
Proceedings of the 17th Conference on Ph.D Research in Microelectronics and Electronics, 2022

SMART-IC: Smart Monitoring and Production Optimization for Zero-waste Semiconductor Manufacturing.
Proceedings of the 23rd IEEE Latin American Test Symposium, 2022

Privacy-preserving Social Distance Monitoring on Microcontrollers with Low-Resolution Infrared Sensors and CNNs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

2021
TVFS: Topology Voltage Frequency Scaling for Reliable Embedded ConvNets.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

Fast and Accurate Inference on Microcontrollers With Boosted Cooperative Convolutional Neural Networks (BC-Net).
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Manufacturing as a Data-Driven Practice: Methodologies, Technologies, and Tools.
Proc. IEEE, 2021

Adaptive Test-Time Augmentation for Low-Power CPU.
CoRR, 2021

On the Efficiency of AdapTTA: An Adaptive Test-Time Augmentation Strategy for Reliable Embedded ConvNets.
Proceedings of the VLSI-SoC: Technology Advancement on SoC Design, 2021

AdapTTA: Adaptive Test-Time Augmentation for Reliable Embedded ConvNets.
Proceedings of the 29th IFIP/IEEE International Conference on Very Large Scale Integration, 2021

Low-Overhead Early-Stopping Policies for Efficient Random Forests Inference on Microcontrollers.
Proceedings of the VLSI-SoC: Technology Advancement on SoC Design, 2021

Adaptive Random Forests for Energy-Efficient Inference on Microcontrollers.
Proceedings of the 29th IFIP/IEEE International Conference on Very Large Scale Integration, 2021

ACME: An Energy-Efficient Approximate Bus Encoding for I<sup>2</sup>C.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2021

Dataflow Restructuring for Active Memory Reduction in Deep Neural Networks.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

On The Efficiency of Sparse-Tiled Tensor Graph Processing For Low Memory Usage.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Ultra-compact binary neural networks for human activity recognition on RISC-V processors.
Proceedings of the CF '21: Computing Frontiers Conference, 2021

2020
Logic Synthesis of Pass-Gate Logic Circuits With Emerging Ambipolar Technologies.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Corrigendum to"Approximate error detection-correction for efficient adaptive voltage Over-Scaling"[Integration 63 (2018) 220-231].
Integr., 2020

Optimization Tools for ConvNets on the Edge.
Proceedings of the 28th IFIP/IEEE International Conference on Very Large Scale Integration, 2020

Enabling monocular depth perception at the very edge.
Proceedings of the 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2020

TentacleNet: A Pseudo-Ensemble Template for Accurate Binary Convolutional Neural Networks.
Proceedings of the 2nd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2020

EAST: Encoding-Aware Sparse Training for Deep Memory Compression of ConvNets.
Proceedings of the 2nd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2020

2019
Layer-Wise Compressive Training for Convolutional Neural Networks.
Future Internet, 2019

Optimality Assessment of Memory-Bounded ConvNets Deployed on Resource-Constrained RISC Cores.
IEEE Access, 2019

Arbitrary-Precision Convolutional Neural Networks on Low-Power IoT Processors.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

Inference on the Edge: Performance Analysis of an Image Classification Task Using Off-The-Shelf CPUs and Open-Source ConvNets.
Proceedings of the Sixth International Conference on Social Networks Analysis, 2019

Integer ConvNets on Embedded CPUs: Tools and Performance Assessment on the Cortex-A Cores.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

CoopNet: Cooperative Convolutional Neural Network for Low-Power MCUs.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

SAID: A Supergate-Aided Logic Synthesis Flow for Memristive Crossbars.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Enabling Energy-Efficient Unsupervised Monocular Depth Estimation on ARMv7-Based Platforms.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Energy-Efficient Convolutional Neural Networks via Recurrent Data Reuse.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
Quasi-exact logic functions through classification trees.
Integr., 2018

Approximate Error Detection-Correction for efficient Adaptive Voltage Over-Scaling.
Integr., 2018

Inferential Logic: a Machine Learning Inspired Paradigm for Combinational Circuits.
Proceedings of the IFIP/IEEE International Conference on Very Large Scale Integration, 2018

Energy-Accuracy Scalable Deep Convolutional Neural Networks: A Pareto Analysis.
Proceedings of the VLSI-SoC: Design and Engineering of Electronics Systems Based on New Computing Paradigms, 2018

Energy-Driven Precision Scaling for Fixed-Point ConvNets.
Proceedings of the IFIP/IEEE International Conference on Very Large Scale Integration, 2018

Design-Space Exploration of Pareto-Optimal Architectures for Deep Learning with DVFS.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Multiplication by Inference using Classification Trees: A Case-Study Analysis.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Weak-MAC: Arithmetic Relaxation for Dynamic Energy-Accuracy Scaling in ConvNets.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Logic-In-Memory Architecture For Min/Max Search.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

Scalable-effort ConvNets for multilevel classification.
Proceedings of the International Conference on Computer-Aided Design, 2018

A compression-driven training framework for embedded deep neural networks.
Proceedings of the Workshop on INTelligent Embedded Systems Architectures and Applications, 2018

Energy-performance design exploration of a low-power microprogrammed deep-learning accelerator.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

All-digital embedded meters for on-line power estimation.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
Early bird sampling: A short-paths free error detection-correction strategy for data-driven VOS.
Proceedings of the 2017 IFIP/IEEE International Conference on Very Large Scale Integration, 2017

On the Efficiency of Early Bird Sampling (EBS) an Error Detection-Correction Scheme for Data-Driven Voltage Over-Scaling.
Proceedings of the VLSI-SoC: Opportunities and Challenges Beyond the Internet of Things, 2017

Activation-Kernel Extraction through Machine Learning.
Proceedings of the New Generation of CAS, 2017

Tunable Error Detection-Correction for Efficient Adaptive Voltage Over-Scaling.
Proceedings of the New Generation of CAS, 2017

2016
Quasi-Adiabatic Logic Arrays for Silicon and Beyond-Silicon Energy-Efficient ICs.
IEEE Trans. Circuits Syst. II Express Briefs, 2016

Logic Synthesis for Silicon and Beyond-Silicon Multi-gate Pass-Logic Circuits.
Proceedings of the VLSI-SoC: System-on-Chip in the Nanoscale Era - Design, Verification and Reliability, 2016

Multi-function logic synthesis of silicon and beyond-silicon ultra-low power pass-gates circuits.
Proceedings of the 2016 IFIP/IEEE International Conference on Very Large Scale Integration, 2016

Beyond Ideal DVFS Through Ultra-Fine Grain Vdd-Hopping.
Proceedings of the VLSI-SoC: System-on-Chip in the Nanoscale Era - Design, Verification and Reliability, 2016

Ultra-Fine Grain Vdd-Hopping for energy-efficient Multi-Processor SoCs.
Proceedings of the 2016 IFIP/IEEE International Conference on Very Large Scale Integration, 2016

Enabling quasi-adiabatic logic arrays for silicon and beyond-silicon technologies.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Graphene-PLA (GPLA): a Compact and Ultra-Low Power Logic Array Architecture.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016

2015
Ultra-low power circuits using graphene p-n junctions and adiabatic computing.
Microprocess. Microsystems, 2015

Evaluating a Hardware-Based Approach for Detecting Resistive-Open Defects in SRAMs.
Proceedings of the 28th International Conference on VLSI Design, 2015

An automated design flow for approximate circuits based on reduced precision redundancy.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Design and Characterization of Analog-to-Digital Converters using Graphene P-N Junctions.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

Exploiting the Expressive Power of Graphene Reconfigurable Gates via Post-Synthesis Optimization.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

Characterizing the Activity Factor in NBTI Aging Models for Embedded Cores.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

One-pass logic synthesis for graphene-based Pass-XNOR logic circuits.
Proceedings of the 52nd Annual Design Automation Conference, 2015

2014
Dynamic Indexing: Leakage-Aging Co-Optimization for Caches.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Row-based body-bias assignment for dynamic thermal clock-skew compensation.
Microelectron. J., 2014

Modeling of Physical Defects in PN Junction Based Graphene Devices.
J. Electron. Test., 2014

Ultra Low-Power Computation via Graphene-Based Adiabatic Logic Gates.
Proceedings of the 17th Euromicro Conference on Digital System Design, 2014

Pass-XNOR logic: A new logic style for P-N junction based graphene circuits.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
Layout-Driven Post-Placement Techniques for Temperature Reduction and Thermal Gradient Minimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Modeling and characterization of thermally induced skew on clock distribution networks of nanometric ICs.
Microelectron. J., 2013

Power modeling and characterization of Graphene-based logic gates.
Proceedings of the 2013 23rd International Workshop on Power and Timing Modeling, 2013

Investigating the behavior of physical defects in pn-junction based reconfigurable graphene devices.
Proceedings of the 14th Latin American Test Workshop, 2013

Technique based on On-Chip Current Sensors and Neighbourhood Comparison Logic to detect resistive-open defects in SRAMs.
Proceedings of the 14th Latin American Test Workshop, 2013

Exploration of different implementation styles for graphene-based reconfigurable gates.
Proceedings of 2013 International Conference on IC Design & Technology, 2013

Delay model for reconfigurable logic gates based on graphene PN-junctions.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013

A verilog-a model for reconfigurable logic gates based on graphene pn-junctions.
Proceedings of the Design, Automation and Test in Europe, 2013

Energy-optimal SRAM supply voltage scheduling under lifetime and error constraints.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
Design Techniques for NBTI-Tolerant Power-Gating Architectures.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

Design Techniques and Architectures for Low-Leakage SRAMs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2012

On-chip process variation-tracking through an all-digital monitoring architecture.
IET Circuits Devices Syst., 2012

NBTI-Aware Data Allocation Strategies for Scratchpad Based Embedded Systems.
J. Electron. Test., 2012

On-Chip NBTI and PBTI Tracking through an All-Digital Aging Monitor Architecture.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2012

Energy-optimal caches with guaranteed lifetime.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

NBTI effects on tree-like clock distribution networks.
Proceedings of the Great Lakes Symposium on VLSI 2012, 2012

Investigating the effects of Inverted Temperature Dependence (ITD) on clock distribution networks.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

IR-drop analysis of graphene-based power distribution networks.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
Power Efficient Variability Compensation Through Clustered Tunable Power-Gating.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2011

Sub-Row Sleep Transistor Insertion for Concurrent Clock-Gating and Power-Gating.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2011

An On-Chip All-Digital PV-Monitoring Architecture for Digital IPs.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2011

A new Built-In Current Sensor scheme to detect dynamic faults in Nano-Scale SRAMs.
Proceedings of the 12th Latin American Test Workshop, 2011

NBTI-aware data allocation strategies for scratchpad memory based embedded systems.
Proceedings of the 12th Latin American Test Workshop, 2011

Buffering of frequent accesses for reduced cache aging.
Proceedings of the 21st ACM Great Lakes Symposium on VLSI 2010, 2011

Moving to Green ICT: From stand-alone power-aware IC design to an integrated approach to energy efficient design for heterogeneous electronic systems.
Proceedings of the Design, Automation and Test in Europe, 2011

Partitioned cache architectures for reduced NBTI-induced aging.
Proceedings of the Design, Automation and Test in Europe, 2011

2010
Temperature-Insensitive Dual- V<sub>th</sub> Synthesis for Nanometer CMOS Technologies Under Inverse Temperature Dependence.
IEEE Trans. Very Large Scale Integr. Syst., 2010

NBTI-Aware Clustered Power Gating.
ACM Trans. Design Autom. Electr. Syst., 2010

Dual-V<sub>t</sub> assignment policies in ITD-aware synthesis.
Microelectron. J., 2010

Generating power-hungry test programs for power-aware validation of pipelined processors.
Proceedings of the 23rd Annual Symposium on Integrated Circuits and Systems Design, 2010

Dynamic indexing: concurrent leakage and aging optimization for caches.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

Analysis of NBTI-induced SNM degradation in power-gated SRAM cells.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Aging effects of leakage optimizations for caches.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

An integrated thermal estimation framework for industrial embedded platforms.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

Post-placement temperature reduction techniques.
Proceedings of the Design, Automation and Test in Europe, 2010

2009
Design of a Flexible Reactivation Cell for Safe Power-Mode Transition in Power-Gated Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., 2009

Reducing Timing Overhead in Simultaneously Clock-Gated and Power-Gated Designs by Placement-Aware Clustering.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2009

On-chip Thermal Modeling Based on SPICE Simulation.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2009

NBTI-aware power gating for concurrent leakage and aging optimization.
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009

Placement-aware Clustering for Integrated Clock and Power Gating.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

NBTI-aware sleep transistor design for reliable power-gating.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

Enabling concurrent clock and power gating in an industrial design flow.
Proceedings of the Design, Automation and Test in Europe, 2009

2008
Thermal-Aware Design Techniques for Nanometer CMOS Circuits.
J. Low Power Electron., 2008

Reducing leakage power by accounting for temperature inversion dependence in dual-Vt synthesized circuits.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008

On quantifying the figures of merit of power-gating for leakage power minimization in nanometer CMOS circuits.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Temperature-insensitive synthesis using multi-vt libraries.
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008

Integrating Clock Gating and Power Gating for Combined Dynamic and Leakage Power Optimization in Digital CMOS Circuits.
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008

Optimal MTCMOS Reactivation Under Power Supply Noise and Performance Constraints.
Proceedings of the Design, Automation and Test in Europe, 2008

2007
Design of a family of sleep transistor cells for a clustered power-gating flow in 65nm technology.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

Interactive presentation: Efficient computation of discharge current upper bounds for clustered sleep transistor sizing.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007


  Loading...