Daniele Jahier Pagliari

Orcid: 0000-0002-2872-7071

According to our database1, Daniele Jahier Pagliari authored at least 74 papers between 2015 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Dynamic Decision Tree Ensembles for Energy-Efficient Inference on IoT Edge Nodes.
IEEE Internet Things J., January, 2024

Performance evaluation of acceleration of convolutional layers on OpenEdgeCGRA.
CoRR, 2024

Optimized Deployment of Deep Neural Networks for Visual Pose Estimation on Nano-drones.
CoRR, 2024

HW-SW Optimization of DNNs for Privacy-preserving People Counting on Low-resolution Infrared Arrays.
CoRR, 2024

Adaptive Deep Learning for Efficient Visual Pose Estimation aboard Ultra-low-power Nano-drones.
CoRR, 2024

2023
Efficient Deep Learning Models for Privacy-Preserving People Counting on Low-Resolution Infrared Arrays.
IEEE Internet Things J., August, 2023

Lightweight Neural Architecture Search for Temporal Convolutional Networks at the Edge.
IEEE Trans. Computers, March, 2023

Reducing the Energy Consumption of sEMG-Based Gesture Recognition at the Edge Using Transformers and Dynamic Inference.
Sensors, February, 2023

Enhancing Neural Architecture Search with Multiple Hardware Constraints for Deep Learning Model Deployment on Tiny IoT Devices.
CoRR, 2023


Precision-aware Latency and Energy Balancing on Multi-Accelerator Platforms for DNN Inference.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023

Model-Driven Dataset Generation for Data-Driven Battery SOH Models.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023

Deep Neural Network Architecture Search for Accurate Visual Pose Estimation aboard Nano-UAVs.
Proceedings of the IEEE International Conference on Robotics and Automation, 2023

PLiNIO: A User-Friendly Library of Gradient-Based Methods for Complexity-Aware DNN Optimization.
Proceedings of the Forum on Specification & Design Languages, 2023

Energy-efficient Wearable-to-Mobile Offload of ML Inference for PPG-based Heart-Rate Estimation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

HTVM: Efficient Neural Network Deployment On Heterogeneous TinyML Platforms.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
Guest Editorial: Thematic Section on Applications of Emerging Computing Technologies in Smart Manufacturing and Industry 4.0.
IEEE Trans. Emerg. Top. Comput., 2022

Human Activity Recognition on Microcontrollers with Quantized and Adaptive Deep Neural Networks.
ACM Trans. Embed. Comput. Syst., 2022

Traffic Load Estimation from Structural Health Monitoring sensors using supervised learning.
Sustain. Comput. Informatics Syst., 2022

A deep learning approach for Spatio-Temporal forecasting of new cases and new hospital admissions of COVID-19 spread in Reggio Emilia, Northern Italy.
J. Biomed. Informatics, 2022

Embedding Temporal Convolutional Networks for Energy-efficient PPG-based Heart Rate Monitoring.
ACM Trans. Comput. Heal., 2022

Energy-efficient and Privacy-aware Social Distance Monitoring with Low-resolution Infrared Sensors and Adaptive Inference.
Proceedings of the 17th Conference on Ph.D Research in Microelectronics and Electronics, 2022

Two-stage Human Activity Recognition on Microcontrollers with Decision Trees and CNNs.
Proceedings of the 17th Conference on Ph.D Research in Microelectronics and Electronics, 2022

Multi-Complexity-Loss DNAS for Energy-Efficient and Memory-Constrained Deep Neural Networks.
Proceedings of the ISLPED '22: ACM/IEEE International Symposium on Low Power Electronics and Design, Boston, MA, USA, August 1, 2022

Privacy-preserving Social Distance Monitoring on Microcontrollers with Low-Resolution Infrared Sensors and CNNs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

C-NMT: A Collaborative Inference Framework for Neural Machine Translation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Channel-wise Mixed-precision Assignment for DNN Inference on Constrained Edge Nodes.
Proceedings of the 13th IEEE International Green and Sustainable Computing Conference, 2022

Bioformers: Embedding Transformers for Ultra-Low Power sEMG-based Gesture Recognition.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Improving PPG-based Heart-Rate Monitoring with Synthetically Generated Data.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2022

2021
A Microservices-Based Framework for Smart Design and Optimization of PV Installations.
IEEE Trans. Sustain. Comput., 2021

Assessing the Impact of Sensor-Based Task Scheduling on Battery Lifetime in IoT Devices.
IEEE Trans. Instrum. Meas., 2021

Low-Overhead Adaptive Brightness Scaling for Energy Reduction in OLED Displays.
IEEE Trans. Emerg. Top. Comput., 2021

CRIME: Input-Dependent Collaborative Inference for Recurrent Neural Networks.
IEEE Trans. Computers, 2021

Q-PPG: Energy-Efficient PPG-Based Heart Rate Monitoring on Wearable Devices.
IEEE Trans. Biomed. Circuits Syst., 2021

Manufacturing as a Data-Driven Practice: Methodologies, Technologies, and Tools.
Proc. IEEE, 2021

Chapter Eight - Energy-efficient deep learning inference on edge devices.
Adv. Comput., 2021

Low-Overhead Early-Stopping Policies for Efficient Random Forests Inference on Microcontrollers.
Proceedings of the VLSI-SoC: Technology Advancement on SoC Design, 2021

Adaptive Random Forests for Energy-Efficient Inference on Microcontrollers.
Proceedings of the 29th IFIP/IEEE International Conference on Very Large Scale Integration, 2021

ACME: An Energy-Efficient Approximate Bus Encoding for I<sup>2</sup>C.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2021

TCN Mapping Optimization for Ultra-Low Power Time-Series Edge Inference.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2021

Robust and Energy-Efficient PPG-Based Heart-Rate Monitoring.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Pruning In Time (PIT): A Lightweight Network Architecture Optimizer for Temporal Convolutional Networks.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Ultra-compact binary neural networks for human activity recognition on RISC-V processors.
Proceedings of the CF '21: Computing Frontiers Conference, 2021

2020
Modeling and Simulation of Cyber-Physical Electrical Energy Systems With SystemC-AMS.
IEEE Trans. Sustain. Comput., 2020

Energy-Efficient Adaptive Machine Learning on IoT End-Nodes With Class-Dependent Confidence.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

Predicting Hard Disk Failures in Data Centers Using Temporal Convolutional Neural Networks.
Proceedings of the Euro-Par 2020: Parallel Processing Workshops, 2020

Input-Dependent Edge-Cloud Mapping of Recurrent Neural Networks Inference.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Battery-Aware Operation Range Estimation for Terrestrial and Aerial Electric Vehicles.
IEEE Trans. Veh. Technol., 2019

A Cross-level Verification Methodology for Digital IPs Augmented with Embedded Timing Monitors.
ACM Trans. Design Autom. Electr. Syst., 2019

Fine-Grain Back Biasing for the Design of Energy-Quality Scalable Operators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Automated Synthesis of Energy-Efficient Reconfigurable-Precision Circuits.
IEEE Access, 2019

CNN-Based Camera-less User Attention Detection for Smartphone Power Management.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

Optimal Input-Dependent Edge-Cloud Partitioning for RNN Inference.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

A Semi-Empirical Model of PV Modules Including Manufacturing I-V Mismatch.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

Dynamic Beam Width Tuning for Energy-Efficient Recurrent Neural Networks.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

Irradiance-Driven Partial Reconfiguration of PV Panels.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Low-Overhead Power Trace Obfuscation for Smart Meter Privacy.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
Design Techniques for Energy-Quality Scalable Digital Systems.
PhD thesis, 2018

LAPSE: Low-Overhead Adaptive Power Saving and Contrast Enhancement for OLEDs.
IEEE Trans. Image Process., 2018

Dynamic Bit-width Reconfiguration for Energy-Efficient Deep Learning Hardware.
Proceedings of the International Symposium on Low Power Electronics and Design, 2018

Application-Driven Synthesis of Energy-Efficient Reconfigurable-Precision Operators.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Battery-aware Design Exploration of Scheduling Policies for Multi-sensor Devices.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

All-digital embedded meters for on-line power estimation.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
Approximate Energy-Efficient Encoding for Serial Interfaces.
ACM Trans. Design Autom. Electr. Syst., 2017

Accelerators for Breast Cancer Detection.
ACM Trans. Embed. Comput. Syst., 2017

Optimal content-dependent dynamic brightness scaling for OLED displays.
Proceedings of the 27th International Symposium on Power and Timing Modeling, 2017

A methodology for the design of dynamic accuracy operators by runtime back bias.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
Approximate Differential Encoding for Energy-Efficient Serial Communication.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016

Low-overhead adaptive constrast enhancement and power reduction for OLEDs.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Serial T0: approximate bus encoding for energy-efficient transmission of sensor signals.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2015
An automated design flow for approximate circuits based on reduced precision redundancy.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Acceleration of microwave imaging algorithms for breast cancer detection via High-Level Synthesis.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

A low-cost, fast, and accurate microwave imaging system for breast cancer detection.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2015

Microwave Imaging for Breast Cancer Detection: A COTS-Based Prototype.
Proceedings of the Applications in Electronics Pervading Industry, Environment and Society, 2015


  Loading...