Alexander Serb

Orcid: 0000-0002-8034-2398

Affiliations:
  • University of Southampton, UK


According to our database1, Alexander Serb authored at least 73 papers between 2014 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
An Energy-efficient Capacitive-Memristive Content Addressable Memory.
CoRR, 2024

2023
Memristor-Assisted Background Calibration for SAR ADCs: A Feasibility Study.
IEEE Trans. Circuits Syst. I Regul. Pap., September, 2023

Text classification in memristor-based spiking neural networks.
Neuromorph. Comput. Eng., March, 2023

A study on the clusterability of latent representations in image pipelines.
Frontiers Neuroinformatics, March, 2023

A Memristor-based Tuneable Offset Comparator.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

A Neural Recording System with 16 Reconfigurable Front-end Channels and Memristive Processing/Memory Unit.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

QuickNN: Python Toolbox for Training and Optimizing ANN for Hardware Implementation.
Proceedings of the 66th IEEE International Midwest Symposium on Circuits and Systems, 2023

An Improved Data-Driven Memristor Model Accounting for Sequences Stimulus Features.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Power, Performance and Area Optimization of Parallel Load Counters through Logic Minimization and TSPC-FF Utilization.
Proceedings of the 30th IEEE International Conference on Electronics, Circuits and Systems, 2023

A PUF Based on the Non-Linearity of Memristors.
Proceedings of the IEEE International Conference on Cyber Security and Resilience, 2023

Tone Stimulus Detection For Rats Using RRAM-Based Local Field Potential Monitoring.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023

An Integrated CMOS/Memristor Bio-Processor for Re-configurable Neural Signal Processing.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023

2022
An Adiabatic Capacitive Artificial Neuron With RRAM-Based Threshold Detection for Energy-Efficient Neuromorphic Computing.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Multi-State Memristors and Their Applications: An Overview.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2022

Neuropunk Revolution. Hacking Cognitive Systems towards Cyborgs 3.0.
CoRR, 2022

NeuroPack: An Algorithm-level Python-based Simulator for Memristor-empowered Neuro-inspired Computing.
CoRR, 2022

Offset Rejection in a DC-Coupled Hybrid CMOS/Memristor Neural Front-End.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Hybrid CMOS/Memristor Front-End for Multiunit Activity Processing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A CMOS-based Characterisation Platform for Emerging RRAM Technologies.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A tool for emulating neuromorphic architectures with memristive models and devices.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

High-Density Digital RRAM-based Memory with Bit-line Compute Capability.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

2021
Design Flow for Hybrid CMOS/Memristor Systems - Part II: Circuit Schematics and Layout.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Design Flow for Hybrid CMOS/Memristor Systems - Part I: Modeling and Verification Steps.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Analysing and measuring the performance of memristive integrating amplifiers.
Int. J. Circuit Theory Appl., 2021

Practical demonstration of a RRAM memory fuse.
Int. J. Circuit Theory Appl., 2021

Emulating homoeostatic effects with metal-oxide memristors T-dependence.
CoRR, 2021

Palimpsest Memories Stored in Memristive Synapses.
CoRR, 2021

Accounting for Memristor I-V Non-Linearity in Low Power Memristive Amplifiers.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A RRAM-Based Associative Memory Cell.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

An Adiabatic Regenerative Capacitive Artificial Neuron.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

2020
Hybrid CMOS/Memristor Circuit Design Methodology.
CoRR, 2020

Analysing and Measuring the Performance ofMemristive Integrating Amplifiers.
CoRR, 2020

Live Demonstration: Electroforming of TiO2-x Memristor Devices using High Speed Pulses.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

An FPGA Based System for Interfacing with Crossbar Arrays.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020


2019
Practical Implementation of Memristor-Based Threshold Logic Gates.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A system of different layers of abstraction for artificial intelligence.
CoRR, 2019

An Analogue-Domain, Switch-Capacitor-Based Arithmetic-Logic Unit.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

A Digital In-Analogue Out Logic Gate Based on Metal-Oxide Memristor Devices.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

2018
A Data-Driven Verilog-A ReRAM Model.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Sub 100 nW Volatile Nano-Metal-Oxide Memristor as Synaptic-Like Encoder of Neuronal Spikes.
IEEE Trans. Biomed. Circuits Syst., 2018

Benchmarking Analogue Performance of Emerging Random Access Memory Technologies.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Live Demonstration: Benchmarking Analogue Performance of Emerging Random Access Memory Technologies.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Processing big-data with Memristive Technologies: Splitting the Hyperplane Efficiently.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

High-sensitivity memristor-based threshold detection.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Metal Oxide-enabled Reconfigurable Memristive Threshold Logic Gates.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

An Embedded Environmental Control Micro-chamber System for RRAM Memristor Characterisation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Live Demonstration: An Embedded Environmental Control Micro-chamber System for RRAM Memristor Characterisation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

2017
Improving Detection Accuracy of Memristor-Based Bio-Signal Sensing Platform.
IEEE Trans. Biomed. Circuits Syst., 2017

Charge-based computing with analogue reconfigurable gates.
CoRR, 2017

A geographically distributed bio-hybrid neural network with memristive plasticity.
CoRR, 2017

A compact Verilog-A ReRAM switching model.
CoRR, 2017

Spike sorting using non-volatile metal-oxide memristors.
CoRR, 2017

A memristor-CMOS hybrid architecture concept for on-line template matching.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Live demonstration: A TiO2 ReRAM parameter extraction method.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Mitigating noise effects in volatile nano-metal oxide neural detector.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Live demonstration: MNET: A visually rich memristor crossbar simulator.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

2016
An FPGA-Based Instrument for En-Masse RRAM Characterization With ns Pulsing Resolution.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

Practical Determination of Individual Element Resistive States in Selectorless RRAM Arrays.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

Practical Demonstration of a Memristive Fuse.
CoRR, 2016

Sub 100nW volatile nano-metal-oxide memristor as synaptic-like encoder of neuronal spikes.
CoRR, 2016

An ultra-low voltage RRAM read-out technique employing dithering principles.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Practical operation considerations for memristive integrating sensors.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

HfO2-based memristors for neuromorphic applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Live demonstration: Characterization of RRAM crossbar arrays at a click of a button.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Towards a memristor-based spike-sorting platform.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2016

2015
A Cell Classifier for RRAM Process Development.
IEEE Trans. Circuits Syst. II Express Briefs, 2015

Memristive integrative sensors for neuronal activity.
CoRR, 2015

Emulating short-term synaptic dynamics with memristive devices.
CoRR, 2015

Limitations and precision requirements for read-out of passive, linear, selectorless RRAM arrays.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

2014
Octagonal CMOs image sensor with strobed RGB LED illumination for wireless capsule endoscopy.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

Live demonstration: A versatile, low-cost platform for testing large ReRAM cross-bar arrays.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

Memristors as synapse emulators in the context of event-based computation.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014


  Loading...