Grace Li Zhang

Orcid: 0000-0002-8289-9288

Affiliations:
  • Technical University of Munich, Chair of Electronic Design Automation, Germany


According to our database1, Grace Li Zhang authored at least 47 papers between 2016 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
CorrectNet+: Dealing With HW Non-Idealities in In-Memory-Computing Platforms by Error Suppression and Compensation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., February, 2024

EncodingNet: A Novel Encoding-based MAC Design for Efficient Neural Network Acceleration.
CoRR, 2024

2023
BRoCoM: A Bayesian Framework for Robust Computing on Memristor Crossbar.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., July, 2023

Ferroelectric Ternary Content Addressable Memories for Energy-Efficient Associative Search.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., April, 2023

Machine Learning in Advanced IC Design: A Methodological Survey.
IEEE Des. Test, February, 2023

Class-Aware Pruning for Efficient Neural Networks.
CoRR, 2023

OplixNet: Towards Area-Efficient Optical Split-Complex Networks with Real-to-Complex Data Assignment and Knowledge Distillation.
CoRR, 2023

Early Classification for Dynamic Inference of Neural Networks.
CoRR, 2023

Logic Design of Neural Networks for High-Throughput and Low-Power Applications.
CoRR, 2023

Expressivity Enhancement with Efficient Quadratic Neurons for Convolutional Neural Networks.
CoRR, 2023

NearUni: Near-Unitary Training for Efficient Optical Neural Networks.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

A Novel and Efficient Block-Based Programming for ReRAM-Based Neuromorphic Computing.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

SteppingNet: A Stepping Neural Network with Incremental Accuracy Enhancement.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Class-based Quantization for Neural Networks.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Countering Uncertainties in In-Memory-Computing Platforms with Statistical Training, Accuracy Compensation and Recursive Test.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

CorrectNet: Robustness Enhancement of Analog In-Memory Computing for Neural Networks by Error Suppression and Compensation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

PowerPruning: Selecting Weights and Activations for Power-Efficient Neural Network Acceleration.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
VirtualSync+: Timing Optimization With Virtual Synchronization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

PathDriver+: Enhanced Path-Driven Architecture Design for Flow-Based Microfluidic Biochips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Aging Aware Retraining for Memristor-based Neuromorphic Computing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

RRAM-based Neuromorphic Computing: Data Representation, Architecture, Logic, and Programming.
Proceedings of the 25th Euromicro Conference on Digital System Design, 2022

Energy efficient data search design and optimization based on a compact ferroelectric FET content addressable memory.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
A Reconfigurable Multiplier for Signed Multiplications with Asymmetric Bit-Widths.
ACM J. Emerg. Technol. Comput. Syst., 2021

Reliable Memristor-based Neuromorphic Design Using Variation- and Defect-Aware Training.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

An Efficient Programming Framework for Memristor-based Neuromorphic Computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Hardware-Software Codesign of Weight Reshaping and Systolic Array Multiplexing for Efficient CNNs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Energy-Aware Designs of Ferroelectric Ternary Content Addressable Memory.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Bayesian Inference Based Robust Computing on Memristor Crossbar.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Robustness of Neuromorphic Computing with RRAM-based Crossbars and Optical Neural Networks.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
TimingCamouflage+: Netlist Security Enhancement With Unconventional Timing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

TimingCamouflage+: Netlist Security Enhancement with Unconventional Timing (with Appendix).
CoRR, 2020

Countering Variations and Thermal Effects for Accurate Optical Neural Networks.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

PathDriver: A Path-Driven Architectural Synthesis Flow for Continuous-Flow Microfluidic Biochips.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Reliable and Robust RRAM-based Neuromorphic Computing.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

Statistical Training for Neuromorphic Computing using Memristor-based Crossbars Considering Process Variations and Noise.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Timing Resilience for Efficient and Secure Circuits.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

Lifetime Enhancement for RRAM-based Computing-In-Memory Engine Considering Aging and Thermal Effects.
Proceedings of the 2nd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2020

2019
EffiTest2: Efficient Delay Test and Prediction for Post-Silicon Clock Skew Configuration Under Process Variations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Aging-aware Lifetime Enhancement for Memristor-based Neuromorphic Computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
Design-Phase Buffer Allocation for Post-Silicon Clock Binning by Iterative Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Timing with Virtual Signal Synchronization for Circuit Performance and Netlist Security.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

TimingCamouflage: Improving circuit security against counterfeiting by unconventional timing.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Virtualsync: timing optimization by synchronizing logic waves with sequential and combinational components as delay units.
Proceedings of the 55th Annual Design Automation Conference, 2018

2017
Application of machine learning methods in post-silicon yield improvement.
Proceedings of the 30th IEEE International System-on-Chip Conference, 2017

2016
PieceTimer: a holistic timing analysis framework considering setup/hold time interdependency using a piecewise model.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Sampling-based buffer insertion for post-silicon yield improvement under process variability.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

EffiTest: efficient delay test and statistical prediction for configuring post-silicon tunable buffers.
Proceedings of the 53rd Annual Design Automation Conference, 2016


  Loading...