Jaewon Lee

Orcid: 0000-0001-7845-1336

According to our database1, Jaewon Lee authored at least 96 papers between 1994 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Implicit Neural Image Stitching With Enhanced and Blended Feature Reconstruction.
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision, 2024

A Study on the Effects of Power Loading Profile in Discrete Multitone Wireline Serial-Data Transceiver with Fixed-Point DSP-SerDes Simulator.
Proceedings of the International Conference on Electronics, Information, and Communication, 2024

2023
Synapse-Mimetic Hardware-Implemented Resistive Random-Access Memory for Artificial Neural Network.
Sensors, March, 2023

Cluster-Based Multiobjective Particle Swarm Optimization and Application for Chemical Plants.
Int. J. Intell. Syst., 2023

Multi-objective optimization of explosive waste treatment process considering environment via Bayesian active learning.
Eng. Appl. Artif. Intell., 2023

RV-CURE: A RISC-V Capability Architecture for Full Memory Safety.
CoRR, 2023

Semantic-aware Occlusion Filtering Neural Radiance Fields in the Wild.
CoRR, 2023

Domain Generalization Emerges from Dreaming.
CoRR, 2023

Hardware-Assisted Code-Pointer Tagging for Forward-Edge Control-Flow Integrity.
IEEE Comput. Archit. Lett., 2023

Fast, Light-weight, and Accurate Performance Evaluation using Representative Datacenter Behaviors.
Proceedings of the 24th International Middleware Conference, 2023


Chemical Property-Guided Neural Networks for Naphtha Composition Prediction.
Proceedings of the 21st IEEE International Conference on Industrial Informatics, 2023

Robust Camera Pose Refinement for Multi-Resolution Hash Encoding.
Proceedings of the International Conference on Machine Learning, 2023

Semantic-Aware Implicit Template Learning via Part Deformation Consistency.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023

"Why do I feel offended?" - Korean Dataset for Offensive Language Identification.
Proceedings of the Findings of the Association for Computational Linguistics: EACL 2023, 2023

B-Spline Texture Coefficients Estimator for Screen Content Image Super-Resolution.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023

Low Complexity Implementation of Symbol-level Precoding in Multi-user MISO System.
Proceedings of the 20th IEEE Consumer Communications & Networking Conference, 2023

Lazy Node-Dropping Autoencoder.
Proceedings of the IEEE International Conference on Big Data and Smart Computing, 2023

2022
COX : Exposing CUDA Warp-level Functions to CPUs.
ACM Trans. Archit. Code Optim., 2022

Sample size calculation based on discrete Weibull and zero-inflated discrete Weibull regression models.
Commun. Stat. Simul. Comput., 2022

Development of novel flow distribution apparatus for simulated moving bed to improve degree of mixing.
Comput. Chem. Eng., 2022

A Neural Pre-Conditioning Active Learning Algorithm to Reduce Label Complexity.
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022

Securing GPU via region-based bounds checking.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022

Building a Performance Model for Deep Learning Recommendation Model Training on GPUs.
Proceedings of the 29th IEEE International Conference on High Performance Computing, 2022

A Novel Cell Deployment for UAM Communications in 5G-Advanced Network.
Proceedings of the IEEE Globecom 2022 Workshops, 2022

Learning Local Implicit Fourier Representation for Image Warping.
Proceedings of the Computer Vision - ECCV 2022, 2022

Local Texture Estimator for Implicit Representation Function.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2022

Bin-Specific Quantization in Spectral-Domain Convolutional Neural Network Accelerators.
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022

2021
Driving Stress Detection Using Multimodal Convolutional Neural Networks with Nonlinear Representation of Short-Term Physiological Signals.
Sensors, 2021

COX: CUDA on X86 by Exposing Warp-Level Functions to CPUs.
CoRR, 2021

Supporting CUDA for an extended RISC-V GPU architecture.
CoRR, 2021

First-Generation Inference Accelerator Deployment at Facebook.
CoRR, 2021

Relieving the Plateau: Active Semi-Supervised Learning for a Better Landscape.
CoRR, 2021

Search-and-Attack: Temporally Sparse Adversarial Perturbations on Videos.
IEEE Access, 2021

Link Bit-Error-Rate Requirement Analysis for Deep Neural Network Accelerators.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

One-take video boundary point extraction based on frame characteristics.
Proceedings of the International Conference on Information and Communication Technology Convergence, 2021

Acoustic Feedback Detection for Online Video Conferencing.
Proceedings of the International Conference on Information and Communication Technology Convergence, 2021

Point Cloud Augmentation with Weighted Local Transformations.
Proceedings of the 2021 IEEE/CVF International Conference on Computer Vision, 2021

2020
Cost-Effective Electrochemical Activation of Graphitic Carbon Nitride on the Glassy Carbon Electrode Surface for Selective Determination of Serotonin.
Sensors, 2020

Secure Location-Aware Authentication and Communication for Intelligent Transportation Systems.
CoRR, 2020

Manifold Ordinal-Mixup for Ordered Classes in TW3-Based Bone Age Assessment.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2020, 2020

Semi-Automatic SAR Image Land Cover Labeling Pipeline.
Proceedings of the International Conference on Information and Communication Technology Convergence, 2020

RISC-V FPGA Platform Toward ROS-Based Robotics Application.
Proceedings of the 30th International Conference on Field-Programmable Logic and Applications, 2020

2019
TOUGH-UDEC: A simulator for coupled multiphase fluid flows, heat transfers and discontinuous deformations in fractured porous media.
Comput. Geosci., 2019

MnnFast: a fast and scalable system architecture for memory-augmented neural networks.
Proceedings of the 46th International Symposium on Computer Architecture, 2019

Automatic Template-style Social Magazine Generation Service and Video Content Synthesis.
Proceedings of the 2019 International Conference on Information and Communication Technology Convergence, 2019

2018
DiagSim: Systematically Diagnosing Simulators for Healthy Simulations.
ACM Trans. Archit. Code Optim., 2018

Harvest-Then-Transceive: Throughput Maximization in Full-Duplex Wireless-Powered Communication Networks.
IEICE Trans. Commun., 2018

RpStacks-MT: A High-Throughput Design Evaluation Methodology for Multi-Core Processors.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018

Two-Stage Enhancement of Noisy and Reverberant Microphone Array Speech for Automatic Speech Recognition Systems Trained with Only Clean Speech.
Proceedings of the 11th International Symposium on Chinese Spoken Language Processing, 2018

DCS-ctrl: A Fast and Flexible Device-Control Mechanism for Device-Centric Server Architecture.
Proceedings of the 45th ACM/IEEE Annual International Symposium on Computer Architecture, 2018

Real-time speaker recognition system using multi-stream i-vectors for AI assistant.
Proceedings of the IEEE International Conference on Consumer Electronics, 2018

Accelerating Recurrent Neural Network Language Model Based Online Speech Recognition System.
Proceedings of the 2018 IEEE International Conference on Acoustics, 2018

WSMeter: A Performance Evaluation Methodology for Google's Production Warehouse-Scale Computers.
Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, 2018

2017
Home gateway for RF/PON based gigabit Transmission system for cable network.
Proceedings of the 8th IEEE Annual Ubiquitous Computing, 2017

StressRight: Finding the right stress for accurate in-development system evaluation.
Proceedings of the 2017 IEEE International Symposium on Performance Analysis of Systems and Software, 2017

The Role of Consumption-based Analytics in Digital Publishing Markets: Implications for the Creative Digital Economy.
Proceedings of the International Conference on Information Systems, 2017

Correlation Analysis between Electrocardiography (ECG) and Photoplethysmogram (PPG) Data for Driver's Drowsiness Detection Using Noise Replacement Method.
ICCSCI, 2017

Sleep stage classification based on noise-reduced fractal property of heart rate variability.
ICCSCI, 2017

2016
Korean LVCSR system development for personal assistant service.
Proceedings of the IEEE International Conference on Consumer Electronics, 2016

An Approach for Interworking Heterogeneous Networks with DTN and IP Routing in Space Internet.
Proceedings of the Advances in Computer Science and Ubiquitous Computing, 2016

DTStorage: Dynamic Tape-Based Storage for Cost-Effective and Highly-Available Streaming Service.
Proceedings of the IEEE/ACM 16th International Symposium on Cluster, 2016

2015
DCS: a fast and scalable device-centric server architecture.
Proceedings of the 48th International Symposium on Microarchitecture, 2015

Applying GPGPU to recurrent neural network language model based fast network search in the real-time LVCSR.
Proceedings of the INTERSPEECH 2015, 2015

Dialog act modeling for virtual personal assistant applications using a small volume of labeled data and domain knowledge.
Proceedings of the INTERSPEECH 2015, 2015

Large scale speech-to-text translation with out-of-domain corpora using better context-based models and domain adaptation.
Proceedings of the INTERSPEECH 2015, 2015

Learning feature mapping using deep neural network bottleneck features for distant large vocabulary speech recognition.
Proceedings of the 2015 IEEE International Conference on Acoustics, 2015

OCSP Modification for Supporting Anonymity and High-Speed Processing in Vehicle Communication System.
Proceedings of the Advances in Computer Science and Ubiquitous Computing, 2015

2014
ScaleGPU: GPU Architecture for Memory-Unaware GPU Programming.
IEEE Comput. Archit. Lett., 2014

RpStacks: Fast and Accurate Processor Design Space Exploration Using Representative Stall-Event Stacks.
Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, 2014

Study for method and standard of performance evaluation on vitrectomy system.
Proceedings of the International Conference on Information and Communication Technology Convergence, 2014

GPUdmm: A high-performance and memory-oblivious GPU architecture using dynamic memory management.
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014

2013
Local property characterization of prostate glands using inhomogeneous modeling based on tumor volume and location analysis.
Medical Biol. Eng. Comput., 2013

Computational modeling of the negative priming effect based on inhibition patterns and working memory.
Frontiers Comput. Neurosci., 2013

LINQits: big data on little clients.
Proceedings of the 40th Annual International Symposium on Computer Architecture, 2013

Safety and performance evaluation methods for implantable cardioverter defibrillator.
Proceedings of the International Conference on Information and Communication Technology Convergence, 2013

2012
A 2.5-Gb/s On-Chip Interconnect Transceiver With Crosstalk and ISI Equalizer in 130 nm CMOS.
IEEE Trans. Circuits Syst. I Regul. Pap., 2012

A High-Frequency Compensated Crosstalk and ISI Equalizer for Multi-Channel On-Chip Interconnect in 130-nm CMOS.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2012

2011
Joint Model of Clustered Failure Time Data with Informative Cluster Size.
Commun. Stat. Simul. Comput., 2011

Stereophonic acoustic echo cancellation using spatial decorrelation.
Proceedings of the IEEE Workshop on Applications of Signal Processing to Audio and Acoustics, 2011

Robotic system for hybrid diagnosis of prostate cancer: Design and experimentation.
Proceedings of the IEEE International Conference on Robotics and Automation, 2011

Switching linear dynamic transducer for stereo data based speech feature mapping.
Proceedings of the IEEE International Conference on Acoustics, 2011

2010
A 10-bit 300MSample/s pipelined ADC using time-interleaved SAR ADC for front-end stages.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Automatic selection of thresholds for signal separation algorithms based on interaural delay.
Proceedings of the INTERSPEECH 2010, 2010

2008
An attribute aggregation architecture with trust-based evaluation for access control.
Proceedings of the IEEE/IFIP Network Operations and Management Symposium: Pervasive Management for Ubioquitous Networks and Services, 2008

Automatic H.264 encoder synthesis for the Cell processor from a target independent specification.
Proceedings of the 6th IEEE/ACM/IFIP Workshop on Embedded Systems for Real-Time Multimedia, 2008

2007
A Quadrature Modulation Transmitter Using Two Frequency Synthesizers.
IEEE Trans. Circuits Syst. II Express Briefs, 2007

Parallelized Scalar Multiplication on Elliptic Curves Defined over Optimal Extension Field.
Int. J. Netw. Secur., 2007

2006
A Low Power Transmitter for Phase-Shift Keying Modulation Schemes.
Proceedings of the IEEE 17th International Symposium on Personal, 2006

Digital Rights Management with Right Delegation for Home Networks.
Proceedings of the Information Security and Cryptology - ICISC 2006, 9th International Conference, Busan, Korea, November 30, 2006

2005
Securing Mobile Agents by Integrity-Based Encryption.
IEICE Trans. Inf. Syst., 2005

2004
Tamper Resistant Software by Integrity-Based Encryption.
Proceedings of the Parallel and Distributed Computing: Applications and Technologies, 2004

Secure Group Communication with Low Communication Complexity.
Proceedings of the Parallel and Distributed Computing: Applications and Technologies, 2004

2003
Characterization of spatial channel model based on ray path analysis in high-rise urban environment.
Proceedings of the IEEE 14th International Symposium on Personal, 2003

New Block Cipher: ARIA.
Proceedings of the Information Security and Cryptology, 2003

1994
Case-Based Block Division Planning in Shipbuilding.
Proceedings of the Seventh International Conference on Industrial and Engineering Applications of Artificial Intelligence and Expert Systems, 1994


  Loading...