Marco D. Santambrogio

Orcid: 0000-0002-9883-9693

Affiliations:
  • Polytechnic University of Milan, Italy


According to our database1, Marco D. Santambrogio authored at least 348 papers between 2005 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Starlight: A kernel optimizer for GPU processing.
J. Parallel Distributed Comput., May, 2024

NERONE: The Fast Way to Efficiently Execute Your Deep Learning Algorithm at the Edge.
IEEE J. Biomed. Health Informatics, March, 2024

One Automaton to Rule Them All: Beyond Multiple Regular Expressions Execution.
Proceedings of the IEEE/ACM International Symposium on Code Generation and Optimization, 2024

2023
Hephaestus: Codesigning and Automating 3D Image Registration on Reconfigurable Architectures.
ACM Trans. Embed. Comput. Syst., October, 2023

Faber: A Hardware/SoftWare Toolchain for Image Registration.
IEEE Trans. Parallel Distributed Syst., 2023

An Energy-Efficient Domain-Specific Architecture for Regular Expressions.
IEEE Trans. Emerg. Top. Comput., 2023

Pushing the Level of Abstraction of Digital System Design: A Survey on How to Program FPGAs.
ACM Comput. Surv., 2023

The Hitchhiker's Guide to FPGA-Accelerated Quantum Error Correction.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2023

On the Genome Sequence Alignment FPGA Acceleration via KSW2z.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

YARB: a Methodology to Characterize Regular Expression Matching on Heterogeneous Systems.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

New Solution For a (Scaff)Old Problem: an FPGA Approach.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2023

Enabling Efficient Regular Expression Matching at the Edge through Domain-Specific Architectures.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2023

Senju: A Framework for the Design of Highly Parallel FPGA-based Iterative Stencil Loop Accelerators.
Proceedings of the 2023 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2023

Stain Transfer using CycleGAN for Histopathological Images.
Proceedings of the 20th IEEE International Conference on Smart Technologies, 2023

On the Design and Characterization of Set Packing Problem on Quantum Annealers.
Proceedings of the 20th IEEE International Conference on Smart Technologies, 2023

Improving Sleep Quality Through an Arduino-Based Environment Sleep Monitoring System.
Proceedings of the 20th IEEE International Conference on Smart Technologies, 2023

Towards a Lightweight 2D U-Net for Accurate Semantic Segmentation of Kidney Tumors in Abdominal CT Images.
Proceedings of the 20th IEEE International Conference on Smart Technologies, 2023

A Bird's Eye View on Quantum Computing: Current and Future Trends.
Proceedings of the 20th IEEE International Conference on Smart Technologies, 2023

Analysis and Classification of Event-Related Potentials During Image Observation.
Proceedings of the 45th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2023

Towards an Informed CNN for Bone SR-microCT Image Classification with an Unsupervised Patched-based Image Clustering.
Proceedings of the 45th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2023

Rethinking Theta/Beta Ratio in ADHD through Functional Data Analysis.
Proceedings of the 45th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2023

ATHENA: a GPU-based Framework for Biomedical 3D Rigid Image Registration.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023

A Graph Machine Learning approach to Automatic Dementia Detection.
Proceedings of the IEEE EMBS International Conference on Biomedical and Health Informatics, 2023

On How to Unravel Bone Microscale Phenomena: A Mask-Guided Attention SR-microCT Image Classification Approach.
Proceedings of the IEEE EMBS International Conference on Biomedical and Health Informatics, 2023

TSUNAMI: A GPU Implementation of the WFA Algorithm.
Proceedings of the 32nd International Conference on Parallel Architectures and Compilation Techniques, 2023

2022
BlastFunction: A Full-stack Framework Bringing FPGA Hardware Acceleration to Cloud-native Applications.
ACM Trans. Reconfigurable Technol. Syst., 2022

On the Automation of Radiomics-Based Identification and Characterization of NSCLC.
IEEE J. Biomed. Health Informatics, 2022

A Comprehensive Methodology to Optimize FPGA Designs via the Roofline Model.
IEEE Trans. Computers, 2022

Computer-Aided Dementia Detection: How Informative Are Your Features?
Proceedings of the 7th IEEE Forum on Research and Technologies for Society and Industry Innovation, 2022

BrainTrack: A Replicable and Accessible Methodology for Customized Brain-Machine Interface Applications.
Proceedings of the 7th IEEE Forum on Research and Technologies for Society and Industry Innovation, 2022

ROH-BOT: An IoT Wearable and Inclusive Health Device for Remote Patient Monitoring With Different Alarm Systems.
Proceedings of the 7th IEEE Forum on Research and Technologies for Society and Industry Innovation, 2022

GRETA: erGonomic stREss Tracking pAd.
Proceedings of the IEEE Symposium on Computers and Communications, 2022

A Mixed Precision, Multi-GPU Design for Large-scale Top-K Sparse Eigenproblems.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Surfing the Wavefront of Genome Alignment.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Lung Cancer Identification via Deep Learning: A Multi-Stage Workflow.
Proceedings of the 19th IEEE International Symposium on Biomedical Imaging, 2022

Fast Genome Analysis Leveraging Exact String Matching.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2022

29th Reconfigurable Architectures Workshop (RAW 2022).
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2022

Characterizing Molecular Dynamics Simulation on Commodity Platforms.
Proceedings of the IEEE International Symposium on Workload Characterization, 2022

Obstruction simulation in real-time 3D audio on edge systems.
Proceedings of the 20th IEEE International Conference on Embedded and Ubiquitous Computing, 2022

From Distributed Sensing to Virtual Sensors: a Domain-Specific Language for Reactive Centralized Edge-Fog-Cloud Computation.
Proceedings of the 20th IEEE International Conference on Embedded and Ubiquitous Computing, 2022

Math Skills: a New Look from Functional Data Analysis.
Proceedings of the 44th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2022

An Optimized U-Net for Unbalanced Multi-Organ Segmentation.
Proceedings of the 44th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2022

BEBOP: Bidirectional dEep Brain cOnnectivity maPping.
Proceedings of the IEEE-EMBS International Conference on Biomedical and Health Informatics, 2022

Large Forests and Where to "Partially" Fit Them.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2021

Enhancing the Scalability of Multi-FPGA Stencil Computations via Highly Optimized HDL Components.
ACM Trans. Reconfigurable Technol. Syst., 2021

CICERO: A Domain-Specific Architecture for Efficient Regular Expression Matching.
ACM Trans. Embed. Comput. Syst., 2021

Exploring the Runtime Performance of Knowledge Graph Embedding Methods.
Proceedings of the 6th IEEE International Forum on Research and Technology for Society and Industry, 2021

Fraud Prevention and Detection on Heterogeneous Information Networks with Deep Graph Infomax.
Proceedings of the 6th IEEE International Forum on Research and Technology for Society and Industry, 2021

An Automatic Active Contour Approach to Segment Retinal Blood Vessels.
Proceedings of the 6th IEEE International Forum on Research and Technology for Society and Industry, 2021

Sex Differences in the ECG Interpretation: a Functional Data Analysis Perspective.
Proceedings of the 6th IEEE International Forum on Research and Technology for Society and Industry, 2021

On How FPGAs are Changing the Computer Security Panorama: An Educational Survey.
Proceedings of the 6th IEEE International Forum on Research and Technology for Society and Industry, 2021

Towards Graph Machine Learning for Smart Grid Knowledge Graphs in Industrial Scenarios.
Proceedings of the 6th IEEE International Forum on Research and Technology for Society and Industry, 2021

Expertise and trade-offs in competence transfer from academia to industry: a successful case study.
Proceedings of the 6th IEEE International Forum on Research and Technology for Society and Industry, 2021

A Practical Account of Designing a Support Tool for an Educational Experience.
Proceedings of the 6th IEEE International Forum on Research and Technology for Society and Industry, 2021

DAG-based Scheduling with Resource Sharing for Multi-task Applications in a Polyglot GPU Runtime.
Proceedings of the 35th IEEE International Parallel and Distributed Processing Symposium, 2021

Dovado: An Open-Source Design Space Exploration Framework.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium Workshops, 2021

Plaster: an Embedded FPGA-based Cluster Orchestrator for Accelerated Distributed Algorithms.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium Workshops, 2021

A Framework for Customizable FPGA-based Image Registration Accelerators.
Proceedings of the FPGA '21: The 2021 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28, 2021

The Importance of Being X-Drop: High Performance Genome Alignment on Reconfigurable Hardware.
Proceedings of the 29th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2021

Solving Large Top-K Graph Eigenproblems with a Memory and Compute-optimized FPGA Design.
Proceedings of the 29th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2021

Optimized Implementation of the HPCG Benchmark on Reconfigurable Hardware.
Proceedings of the Euro-Par 2021: Parallel Processing, 2021

A Functional Data Analysis Approach to Left Ventricular Remodeling Assessment.
Proceedings of the 43rd Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2021

Scaling up HBM Efficiency of Top-K SpMV for Approximate Embedding Similarity on FPGAs.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Demystifying Drug Repurposing Domain Comprehension with Knowledge Graph Embedding.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, BioCAS 2021, 2021

Exploiting Heterogeneous Architectures for Rigid Image Registration.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, BioCAS 2021, 2021

EMoCy: Towards Physiological Signals-Based Stress Detection.
Proceedings of the IEEE EMBS International Conference on Biomedical and Health Informatics, 2021

A reduced-precision streaming SpMV architecture for Personalized PageRank on FPGA.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
EchoBay: Design and Optimization of Echo State Networks under Memory and Time Constraints.
ACM Trans. Archit. Code Optim., 2020

A Black-box Monitoring Approach to Measure Microservices Runtime Performance.
ACM Trans. Archit. Code Optim., 2020

Enabling transparent hardware acceleration on Zynq SoC for scientific computing.
SIGBED Rev., 2020

SAGe: a configurable code generator for efficient symbolic analysis of time-series.
SIGBED Rev., 2020

Power consumption management under a low-level performance constraint in the Xen hypervisor.
SIGBED Rev., 2020

FARD: accelerating distributed fog computing workloads through embedded FPGAs.
SIGBED Rev., 2020

LOGAN: High-Performance GPU-Based X-Drop Long-Read Alignment.
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium (IPDPS), 2020

SALSA: A Domain Specific Architecture for Sequence Alignment.
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium Workshops, 2020

GPU accelerated partial order multiple sequence alignment for long reads self-correction.
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium Workshops, 2020

EMPhASIS: An EMbedded Public Attention Stress Identification System.
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium Workshops, 2020

Hardware resources analysis of BNNs splitting for FARD-based multi-FPGAs Distributed Systems.
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium Workshops, 2020

Leveraging Succinct Data Structures for DNA Sequence Mapping on FPGA.
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium Workshops, 2020

A CAD-based methodology to optimize HLS code via the Roofline model.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

SmartBlackBox: Enhancing Driver's Safety Via Real-Time Machine Learning on IoT Insurance Black-Boxes.
Proceedings of the IEEE Global Conference on Artificial Intelligence and Internet of Things, 2020

A faster approach to ECG analysis in emergency situations.
Proceedings of the 42nd Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2020

BNNsplit: Binarized Neural Networks for embedded distributed FPGA-based computing systems.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Efficient Embedded Machine Learning applications using Echo State Networks.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

BlastFunction: an FPGA-as-a-Service system for Accelerated Serverless Computing.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

PRESTO: a latency-aware power-capping orchestrator for cloud-native microservices.
Proceedings of the IEEE International Conference on Autonomic Computing and Self-Organizing Systems, 2020

2019
Performance-aware load shedding for monitoring events in container based environments.
SIGBED Rev., 2019

RAW 2016.
J. Parallel Distributed Comput., 2019

A Framework For Identifying Group Behavior Of Wild Animals.
CoRR, 2019

Motion discrimination by ambient cellular signals: machine learning and computing tools.
Proceedings of the 5th IEEE World Forum on Internet of Things, 2019

Solving write conflicts in GPU-accelerated graph computation: A PageRank case-study.
Proceedings of the 5th IEEE International forum on Research and Technology for Society and Industry, 2019

Exploring transductive and inductive methods for vertex embedding in biological networks.
Proceedings of the 5th IEEE International forum on Research and Technology for Society and Industry, 2019

AIRBOX: a monitoring system of physiological parameters and mind performance in microclimate-controlled environment.
Proceedings of the 5th IEEE International forum on Research and Technology for Society and Industry, 2019

Fog Acceleration through Reconfigurable Devices.
Proceedings of the 5th IEEE International forum on Research and Technology for Society and Industry, 2019

FPGA-Based Embedded System Implementation of Audio Signal Alignment.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium Workshops, 2019

Pareto Optimal Design Space Exploration for Accelerated CNN on FPGA.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium Workshops, 2019

A Case Study for an Accelerated DCNN on FPGA-Based Embedded Distributed System.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium Workshops, 2019

Continuous Blood Pressure Estimation Through Optimized Echo State Networks.
Proceedings of the Artificial Neural Networks and Machine Learning - ICANN 2019 - 28th International Conference on Artificial Neural Networks, Munich, Germany, September 17-19, 2019, Proceedings, 2019

Fast and Accurate Entity Linking via Graph Embedding.
Proceedings of the 2nd Joint International Workshop on Graph Data Management Experiences & Systems (GRADES) and Network Data Analytics (NDA), 2019

An FPGA-Based Computing Infrastructure Tailored to Efficiently Scaffold Genome Sequences.
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019

Automated Design Space Exploration and Roofline Analysis for FPGA-Based HLS Applications.
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019

Automated Acceleration of Dataflow-Oriented C Applications on FPGA-Based Systems.
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019

lightweight autonomous bayesian optimization of Echo-State Networks.
Proceedings of the 27th European Symposium on Artificial Neural Networks, 2019

Diversity and Inclusion: Buzzword or Real Value?
Proceedings of the IEEE Global Engineering Education Conference, 2019

circFA: a FPGA-based circular RNA aligner.
Proceedings of the 2019 IEEE EMBS International Conference on Biomedical & Health Informatics, 2019

Towards an Automatic Imaging Biopsy of Non-Small Cell Lung Cancer.
Proceedings of the 2019 IEEE EMBS International Conference on Biomedical & Health Informatics, 2019

Speeding Up Resting State Networks Recognition via a Hardware Accelerator.
Proceedings of the 2019 IEEE EMBS International Conference on Biomedical & Health Informatics, 2019

2018
Toward Smart Building Design Automation: Extensible CAD Framework for Indoor Localization Systems Deployment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

MARC: A Resource Consumption Modeling Service for Self-Aware Autonomous Agents.
ACM Trans. Auton. Adapt. Syst., 2018

Enabling power-awareness for the Xen hypervisor.
SIGBED Rev., 2018

A runtime controller for openCL applications on heterogeneous system architectures.
SIGBED Rev., 2018

Towards a performance-aware power capping orchestrator for the Xen hypervisor.
SIGBED Rev., 2018

HLS Support for Polymorphic Parallel Memories.
Proceedings of the IFIP/IEEE International Conference on Very Large Scale Integration, 2018

Building High-Performance, Easy-to-Use Polymorphic Parallel Memories with HLS.
Proceedings of the VLSI-SoC: Design and Engineering of Electronics Systems Based on New Computing Paradigms, 2018

EXTRA: an open platform for reconfigurable architectures.
Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, 2018

Automating Lung Cancer Identification in PET/CT Imaging.
Proceedings of the 4th IEEE International Forum on Research and Technology for Society and Industry, 2018

Energy Efficiency for Autonomic Scalable Systems: Research Objectives and Preliminary Results.
Proceedings of the 4th IEEE International Forum on Research and Technology for Society and Industry, 2018

PRETZEL: Opening the Black Box of Machine Learning Prediction Serving Systems.
Proceedings of the 13th USENIX Symposium on Operating Systems Design and Implementation, 2018

On How to Efficiently Implement Deep Learning Algorithms on PYNQ Platform.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

A Parallel, Energy Efficient Hardware Architecture for the merAligner on FPGA Using Chisel HCL.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018

FIDA: A Framework to Automatically Integrate FPGA Kernels Within Data-Science Applications.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018

Introduction to RAW 2018.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018

An FPGA-Based Acceleration Methodology and Performance Model for Iterative Stencils.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018

A Framework with Cloud Integration for CNN Acceleration on FPGA Devices.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018

OXiGen: A Tool for Automatic Acceleration of C Functions Into Dataflow FPGA-Based Kernels.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018

TiReX: Tiled Regular eXpression Matching Architecture.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018

Robustness of Surface EMG Classifiers with Fixed-Point Decomposition on Reconfigurable Architecture.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018

DEEP-Mon: Dynamic and Energy Efficient Power Monitoring for Container-Based Infrastructures.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018

HyPPO: Hybrid Performance-Aware Power-Capping Orchestrator.
Proceedings of the 2018 IEEE International Conference on Autonomic Computing, 2018

A Scalable FPGA Design for Cloud N-Body Simulation.
Proceedings of the 29th IEEE International Conference on Application-specific Systems, 2018

A Unified Backend for Targeting FPGAs from DSLs.
Proceedings of the 29th IEEE International Conference on Application-specific Systems, 2018

FPGA-based PairHMM Forward Algorithm for DNA Variant Calling.
Proceedings of the 29th IEEE International Conference on Application-specific Systems, 2018

Five-point algorithm: An efficient cloud-based FPGA implementation.
Proceedings of the 29th IEEE International Conference on Application-specific Systems, 2018

2017
Floorplanning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Generation.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Power Consumption Models for Multi-Tenant Server Infrastructures.
ACM Trans. Archit. Code Optim., 2017

HUGenomics: A support to personalized medicine research.
Proceedings of the 3rd IEEE International Forum on Research and Technologies for Society and Industry, 2017

A fog-computing architecture for preventive healthcare and assisted living in smart ambients.
Proceedings of the 3rd IEEE International Forum on Research and Technologies for Society and Industry, 2017

A wearable device for blind people to restore color perception.
Proceedings of the 3rd IEEE International Forum on Research and Technologies for Society and Industry, 2017

BIE-PInCS: Brain injury evaluation with pupillometer based on infrared camera system.
Proceedings of the 3rd IEEE International Forum on Research and Technologies for Society and Industry, 2017

A CAD Open Platform for High Performance Reconfigurable Systems in the EXTRA Project.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

On How to Design Dataflow FPGA-Based Accelerators for Convolutional Neural Networks.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

Exploiting FPGAs from Higher Level Languages A Signal Analysis Case Study.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium Workshops, 2017

A Highly Scalable and Efficient Parallel Design of N-Body Simulation on FPGA.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium Workshops, 2017

Introduction to RAW Workshop.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium Workshops, 2017

Pearson Correlation Coefficient Acceleration for Modeling and Mapping of Neural Interconnections.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium Workshops, 2017

A Scalable Dataflow Implementation of Curran's Approximation Algorithm.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium Workshops, 2017

A Hardware Acceleration for Surface EMG Non-Negative Matrix Factorization.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium Workshops, 2017

A Pipelined and Scalable Dataflow Implementation of Convolutional Neural Networks on FPGA.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium Workshops, 2017

The Role of CAD Frameworks in Heterogeneous FPGA-Based Cloud Systems.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

A Common Backend for Hardware Acceleration on FPGA.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

Optimizing streaming stencil time-step designs via FPGA floorplanning.
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017

GPU-based computation for brain spatio-temporal networks definition.
Proceedings of the 2017 39th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), 2017

Software implementation and hardware acceleration of retinal vessel segmentation for diabetic retinopathy screening tests.
Proceedings of the 2017 39th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), 2017

A Feedback-Based Design Space Exploration Subsystem for the Automation of Architectures Synthesis on Proprietary FPGA Toolchains.
Proceedings of the Euromicro Conference on Digital System Design, 2017

Architectural optimizations for high performance and energy efficient Smith-Waterman implementation on FPGAs using OpenCL.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

An open reconfigurable research platform as stepping stone to exascale high-performance computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Heterogeneous exascale supercomputing: The role of CAD in the exaFPGA project.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Reconfigurable embedded systems applications for versatile biomedical measurements.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

FPGA-based muscle synergy extraction for surface EMG gesture classification.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

Interactive visualization for brain spatio-temporal networks.
Proceedings of the 2017 IEEE EMBS International Conference on Biomedical & Health Informatics, 2017

An embedded Gabor-based palm vein recognition system.
Proceedings of the 2017 IEEE EMBS International Conference on Biomedical & Health Informatics, 2017

2016
Guest Editorial RAW 2014.
ACM Trans. Reconfigurable Technol. Syst., 2016

A Software Cache Partitioning System for Hash-Based Caches.
ACM Trans. Archit. Code Optim., 2016

On How to Accelerate Iterative Stencil Loops: A Scalable Streaming-Based Approach.
ACM Trans. Archit. Code Optim., 2016

Autonomic thread scaling library for QoS management.
SIGBED Rev., 2016

Special Issue on: Multicore and Many-core Architectures for Future Generation Embedded Systems.
Future Gener. Comput. Syst., 2016

Using just-in-time code generation for transparent resource management in heterogeneous systems.
Proceedings of the 2nd IEEE International Forum on Research and Technologies for Society and Industry Leveraging a better tomorrow, 2016

Sink state analysis in multi-tenant smart buildings.
Proceedings of the 2nd IEEE International Forum on Research and Technologies for Society and Industry Leveraging a better tomorrow, 2016

ProFAX: A hardware acceleration of a protein folding algorithm.
Proceedings of the 2nd IEEE International Forum on Research and Technologies for Society and Industry Leveraging a better tomorrow, 2016

EXTRA: Towards the exploitation of eXascale technology for reconfigurable architectures.
Proceedings of the 11th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, 2016

Preemption-aware planning on big-data systems.
Proceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2016

Hardware Design Automation of Convolutional Neural Networks.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

On the Automation of High Level Synthesis of Convolutional Neural Networks.
Proceedings of the 2016 IEEE International Parallel and Distributed Processing Symposium Workshops, 2016

RAW Introduction and Committees.
Proceedings of the 2016 IEEE International Parallel and Distributed Processing Symposium Workshops, 2016

Resource-Efficient Scheduling for Partially-Reconfigurable FPGA-Based Systems.
Proceedings of the 2016 IEEE International Parallel and Distributed Processing Symposium Workshops, 2016

On How to Improve FPGA-Based Systems Design Productivity via SDAccel.
Proceedings of the 2016 IEEE International Parallel and Distributed Processing Symposium Workshops, 2016

Scala-Based Domain-Specific Language for Creating Accelerator-Based SoCs.
Proceedings of the 2016 IEEE International Parallel and Distributed Processing Symposium Workshops, 2016

Parallel Protein Identification Using an FPGA-Based Solution.
Proceedings of the 2016 IEEE International Parallel and Distributed Processing Symposium Workshops, 2016

A polyhedral model-based framework for dataflow implementation on FPGA devices of iterative stencil loops.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Floor plan design and automatic nodes deployment for indoor location and monitoring systems.
Proceedings of the 2016 ACM International Joint Conference on Pervasive and Ubiquitous Computing and Proceedings of the 2016 ACM International Symposium on Wearable Computers, 2016

A self-adaptive approach to efficiently manage energy and performance in tomorrow's heterogeneous computing systems.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Workload-aware power optimization strategy for asymmetric multiprocessors.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Ruleset Minimization in Multi-tenant Smart Buildings.
Proceedings of the 2016 IEEE Intl Conference on Computational Science and Engineering, 2016

FFWD: Latency-Aware Event Stream Processing via Domain-Specific Load-Shedding Policies.
Proceedings of the 2016 IEEE Intl Conference on Computational Science and Engineering, 2016

DockerCap: A Software-Level Power Capping Orchestrator for Docker Containers.
Proceedings of the 2016 IEEE Intl Conference on Computational Science and Engineering, 2016

2015
Guest Editorial ARC 2014.
ACM Trans. Reconfigurable Technol. Syst., 2015

FASTER: Facilitating Analysis and Synthesis Technologies for Effective Reconfiguration.
Microprocess. Microsystems, 2015

Danger-system: Exploring new ways to manage occupants safety in smart building.
Proceedings of the 2nd IEEE World Forum on Internet of Things, 2015

On how to efficiently accelerate brain network analysis on FPGA-based computing system.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2015

A multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architectures.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2015

Explicitly isolating data and computation in high level synthesis: the role of polyhedral framework.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2015

A Run-Time System for Partially Reconfigurable FPGAs: The case of STMicroelectronics SPEAr board.
Proceedings of the Parallel Computing: On the Road to Exascale, 2015

Relocation-Aware Floorplanning for Partially-Reconfigurable FPGA-Based Systems.
Proceedings of the 2015 IEEE International Parallel and Distributed Processing Symposium Workshop, 2015

K-Ways Partitioning of Polyhedral Process Networks: A Multi-level Approach.
Proceedings of the 2015 IEEE International Parallel and Distributed Processing Symposium Workshop, 2015

RAW Introduction and Committees.
Proceedings of the 2015 IEEE International Parallel and Distributed Processing Symposium Workshop, 2015

An orchestrated approach to efficiently manage resources in heterogeneous system architectures.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Floorplanning for Partially-Reconfigurable FPGAs via Feasible Placements Detection.
Proceedings of the 23rd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2015

OpenMPower: An Open and Accessible Database About Real World Mobile Devices.
Proceedings of the 13th IEEE International Conference on Embedded and Ubiquitous Computing, 2015

A System-Level Simulation Framework for Evaluating Resource Management Policies for Heterogeneous System Architectures.
Proceedings of the 2015 Euromicro Conference on Digital System Design, 2015

Experimental Evaluation and Modeling of Thermal Phenomena on Mobile Devices.
Proceedings of the 2015 Euromicro Conference on Digital System Design, 2015

Thermal-aware floorplanning for partially-reconfigurable FPGA-based systems.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

EXTRA: Towards an Efficient Open Platform for Reconfigurable High Performance Computing.
Proceedings of the 18th IEEE International Conference on Computational Science and Engineering, 2015

Power-awareness and smart-resource management in embedded computing systems.
Proceedings of the 2015 International Conference on Hardware/Software Codesign and System Synthesis, 2015

On how to extract breathing rate from PPG signal using wearable devices.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2015

A hardware approach to protein identification.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2015

2014
Coordination of Independent Loops in Self-Adaptive Systems.
ACM Trans. Reconfigurable Technol. Syst., 2014

Automated Fine-Grained CPU Provisioning for Virtual Machines.
ACM Trans. Archit. Code Optim., 2014

A performance-aware quality of service-driven scheduler for multicore processors.
SIGBED Rev., 2014

R3TOS-Based Autonomous Fault-Tolerant Systems.
IEEE Micro, 2014

A SystemC-based framework for the simulation of appliances networks in energy-aware smart spaces.
Proceedings of the IEEE World Forum on Internet of Things, 2014

FPGA-Based Design Using the FASTER Toolchain: The Case of STM Spear Development Board.
Proceedings of the IEEE International Symposium on Parallel and Distributed Processing with Applications, 2014

A Survey on Recent Hardware and Software-Level Cache Management Techniques.
Proceedings of the IEEE International Symposium on Parallel and Distributed Processing with Applications, 2014

An Open-Source, Efficient, and Parameterizable Hardware Implementation of the AES Algorithm.
Proceedings of the IEEE International Symposium on Parallel and Distributed Processing with Applications, 2014

Runtime Resource Management in Heterogeneous System Architectures: The SAVE Approach.
Proceedings of the IEEE International Symposium on Parallel and Distributed Processing with Applications, 2014

Adaptive Raytracing Implementation Using Partial Dynamic Reconfiguration.
Proceedings of the 2014 IEEE International Parallel & Distributed Processing Symposium Workshops, 2014

PaRA-Sched: A Reconfiguration-Aware Scheduler for Reconfigurable Architectures.
Proceedings of the 2014 IEEE International Parallel & Distributed Processing Symposium Workshops, 2014

RAW Introduction and Committees.
Proceedings of the 2014 IEEE International Parallel & Distributed Processing Symposium Workshops, 2014

Improving the security and the scalability of the AES algorithm (abstract only).
Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2014

Floorplanning for Partially-Reconfigurable FPGA Systems via Mixed-Integer Linear Programming.
Proceedings of the 22nd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2014

On How to Efficiently Implement Regular Expression Matching on FPGA-Based Systems.
Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing, 2014

cODA: An Open-Source Framework to Easily Design Context-Aware Android Apps.
Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing, 2014

On Power and Energy Consumption Modeling for Smart Mobile Devices.
Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing, 2014

Effective Reconfigurable Design: The FASTER Approach.
Proceedings of the Reconfigurable Computing: Architectures, Tools, and Applications, 2014

SAVE: Towards Efficient Resource Management in Heterogeneous System Architectures.
Proceedings of the Reconfigurable Computing: Architectures, Tools, and Applications, 2014

2013
Power Optimization in Embedded Systems via Feedback Control of Resource Allocation.
IEEE Trans. Control. Syst. Technol., 2013

Fault Tolerance Management in Cloud Computing: A System-Level Perspective.
IEEE Syst. J., 2013

Adaptive and Flexible Smartphone Power Modeling.
Mob. Networks Appl., 2013

Embedded multicore systems: Architecture, performance and application.
Microprocess. Microsystems, 2013

Design Methods for Parallel Hardware Implementation of Multimedia Iterative Algorithms.
IEEE Des. Test, 2013

SMASH: A heuristic methodology for designing partially reconfigurable MPSoCs.
Proceedings of the 24th IEEE International Symposium on Rapid System Prototyping, 2013

A framework for effective exploitation of partial reconfiguration in dataflow computing.
Proceedings of the 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2013

D-RECS: A complete methodology to implement Self Dynamic Reconfigurable FPGA-based systems.
Proceedings of the 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2013

A Flexible Interconnection Structure for Reconfigurable FPGA Dataflow Applications.
Proceedings of the 2013 IEEE International Symposium on Parallel & Distributed Processing, 2013

RAW Introduction.
Proceedings of the 2013 IEEE International Symposium on Parallel & Distributed Processing, 2013

HERA Project's Holistic Evolutionary Framework.
Proceedings of the 2013 IEEE International Symposium on Parallel & Distributed Processing, 2013

The FASTER vision for designing dynamically reconfigurable systems.
Proceedings of 2013 International Conference on IC Design & Technology, 2013

MPower: gain back your android battery life!
Proceedings of the 2013 ACM International Joint Conference on Pervasive and Ubiquitous Computing, 2013

A generalized software framework for accurate and efficient management of performance goals.
Proceedings of the International Conference on Embedded Software, 2013

Morphone.OS: Context-Awareness in Everyday Life.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013

The autonomic operating system research project: achievements and future directions.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Coloring the cloud for predictable performance.
Proceedings of the ACM Symposium on Cloud Computing, SOCC '13, 2013

Towards a performance-as-a-service cloud.
Proceedings of the ACM Symposium on Cloud Computing, SOCC '13, 2013

A2B: An integrated framework for designing heterogeneous and reconfigurable systems.
Proceedings of the 2013 NASA/ESA Conference on Adaptive Hardware and Systems, 2013

On self-adaptive resource allocation through reinforcement learning.
Proceedings of the 2013 NASA/ESA Conference on Adaptive Hardware and Systems, 2013

Runtime adaptation on dataflow HPC platforms.
Proceedings of the 2013 NASA/ESA Conference on Adaptive Hardware and Systems, 2013

ThermOS: System support for dynamic thermal management of chip multi-processors.
Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques, 2013

2012
On the Evolution of Hardware Circuits via Reconfigurable Architectures.
ACM Trans. Reconfigurable Technol. Syst., 2012

Comparison of Decision-Making Strategies for Self-Optimization in Autonomic Computing Systems.
ACM Trans. Auton. Adapt. Syst., 2012

Selected Papers from the International Conference on Reconfigurable Computing and FPGAs (ReConFig'10).
Int. J. Reconfigurable Comput., 2012

TaBit: A framework for task graph to bitstream generation.
Proceedings of the 2012 International Conference on Embedded Computer Systems: Architectures, 2012

Smart technologies for effective reconfiguration: The FASTER approach.
Proceedings of the 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2012

Automatic run-time manager generation for reconfigurable MPSoC architectures.
Proceedings of the 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2012

A Framework for Thermal and Performance Management.
Proceedings of the 2012 Workshop on Managing Systems Automatically and Dynamically, 2012

An Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systems.
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2012

Mini-Robot's Performance Optimization via Online Reconfiguration and HW/SW Task Scheduling.
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2012

DGECS: Description Generator for Evolved Circuits Synthesis.
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2012

On the automatic integration of hardware accelerators into FPGA-based embedded systems.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

An open-source design and validation platform for reconfigurable systems.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

FASTER: Facilitating Analysis and Synthesis Technologies for Effective Reconfiguration.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012

On the Development of a Runtime Reconfigurable Multicore System-on-Chip.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012

High Speed Dynamic Partial Reconfiguration for Real Time Multimedia Signal Processing.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012

Metronome: operating system level performance management via self-adaptive computing.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

Novel Design Methods and a Tool Flow for Unleashing Dynamic Reconfiguration.
Proceedings of the 15th IEEE International Conference on Computational Science and Engineering, 2012

A Parallel-Serial Decimal Multiplier Architecture.
Proceedings of the 15th IEEE International Conference on Computational Science and Engineering, 2012

MPower: Towards an Adaptive Power Management System for Mobile Devices.
Proceedings of the 15th IEEE International Conference on Computational Science and Engineering, 2012

2011
A New Compact SD2 Positive Integer Triangular Array Division Circuit.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Applying dynamic reconfiguration in the mobile robotics domain: A case study on computer vision algorithms.
ACM Trans. Reconfigurable Technol. Syst., 2011

GPU-accelerated Chemical Similarity Assessment for Large Scale Databases.
Proceedings of the International Conference on Computational Science, 2011

Floorplacement for Partial Reconfigurable FPGA-Based Systems.
Int. J. Reconfigurable Comput., 2011

ReBit: A Tool to Manage and Analyse FPGA-Based Reconfigurable Systems.
Proceedings of the 25th IEEE International Symposium on Parallel and Distributed Processing, 2011

Decision making in autonomic computing systems: comparison of approaches and techniques.
Proceedings of the 8th International Conference on Autonomic Computing, 2011

Automatic HDL-Based Generation of Homogeneous Hard Macros for FPGAs.
Proceedings of the IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines, 2011

A high-performance parallel implementation of the Chambolle algorithm.
Proceedings of the Design, Automation and Test in Europe, 2011

Evolvable systems on reconfigurable architecture via self-aware adaptive applications.
Proceedings of the 2011 NASA/ESA Conference on Adaptive Hardware and Systems, 2011

A bird's eye view of FPGA-based Evolvable Hardware.
Proceedings of the 2011 NASA/ESA Conference on Adaptive Hardware and Systems, 2011

2010
Placement and Floorplanning in Dynamically Reconfigurable FPGAs.
ACM Trans. Reconfigurable Technol. Syst., 2010

Design flows and system architectures for adaptive computing on reconfigurable platforms.
J. Syst. Archit., 2010

From reconfigurable architectures to self-adaptive autonomic systems.
Int. J. Embed. Syst., 2010

A design workflow for dynamically reconfigurable multi-FPGA systems.
Proceedings of the 18th IEEE/IFIP VLSI-SoC 2010, 2010

Application heartbeats for software performance and health.
Proceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2010

High level specification of embedded listeners for monitoring of Network-on-Chips.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

A direct bitstream manipulation approach for Virtex4-based evolvable systems.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Analysis and validation of partially dynamically reconfigurable architecture based on Xilinx FPGAs.
Proceedings of the 24th IEEE International Symposium on Parallel and Distributed Processing, 2010

Wirelength driven floorplacement for FPGA-based partial reconfigurable systems.
Proceedings of the 24th IEEE International Symposium on Parallel and Distributed Processing, 2010

Application heartbeats: a generic interface for specifying program performance and goals in autonomous computing environments.
Proceedings of the 7th International Conference on Autonomic Computing, 2010

Smartlocks: lock acquisition scheduling for self-aware synchronization.
Proceedings of the 7th International Conference on Autonomic Computing, 2010

Self-Aware Adaptation in FPGA-based Systems.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010

Operating system runtime management of partially dynamically reconfigurable embedded systems.
Proceedings of the 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia, 2010

A Roadmap for Autonomous Fault-Tolerant Systems.
Proceedings of the 2010 Conference on Design & Architectures for Signal & Image Processing, 2010

Controlling software applications via resource allocation within the heartbeats framework.
Proceedings of the 49th IEEE Conference on Decision and Control, 2010

Enabling technologies for self-aware adaptive systems.
Proceedings of the 2010 NASA/ESA Conference on Adaptive Hardware and Systems, 2010

2009
Internal and External Bitstream Relocation for Partial Dynamic Reconfiguration.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Partitioning and Scheduling of Task Graphs on Partially Dynamically Reconfigurable FPGAs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

An ILP Formulation for the Task Graph Scheduling Problem Tailored to Bi-Dimensional Reconfigurable Architectures.
Int. J. Reconfigurable Comput., 2009

On-line task management for a reconfigurable cryptographic architecture.
Proceedings of the 23rd IEEE International Symposium on Parallel and Distributed Processing, 2009

Task graph scheduling for reconfigurable architectures driven by reconfigurations hiding and resources reuse.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

Reconfigurable NoC design flow for multiple applications run-time mapping on FPGA devices.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

A runtime relocation based workflow for self dynamic reconfigurable systems design.
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009

SiLLis: A Simplified Language for Monitoring and Debugging of Reconfigurable Systems.
Proceedings of the 2009 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2009

Minimization of the reconfiguration latency for the mapping of applications on FPGA-based systems.
Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, 2009

An application-centered design flow for self reconfigurable systems implementation.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

2008
Reconfigurable Computing and Hardware/Software Codesign.
EURASIP J. Embed. Syst., 2008

A Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communication.
Proceedings of the VLSI-SoC: Design Methodologies for SoC and SiP, 2008

A light-weight Network-on-Chip architecture for dynamically reconfigurable systems.
Proceedings of the 2008 International Conference on Embedded Computer Systems: Architectures, 2008

A Reconfiguration-Aware Floorplacer for FPGAs.
Proceedings of the ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 2008

Core Allocation and Relocation Management for a Self Dynamically Reconfigurable Architecture.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2008

Design methodology for partial dynamic reconfiguration: a new degree of freedom in the HW/SW codesign.
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008

HARPE: A Harvard-based processing element tailored for partial dynamic reconfigurable architectures.
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008

A design flow tailored for self dynamic reconfigurable architecture.
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008

Operating system support for online partial dynamic reconfiguration management.
Proceedings of the FPL 2008, 2008

Design of Communication Infrastructures for Reconfigurable Systems.
Proceedings of the Languages for Embedded Systems and their Applications, 2008

A Requirements-Driven Simulation Framework for Communication Infrastructures Design.
Proceedings of the Forum on specification and Design Languages, 2008

A Design Workflow for the Identification of Area Constraints in Dynamic Reconfigurable Systems.
Proceedings of the 4th IEEE International Symposium on Electronic Design, 2008

A Requirements-Driven Reconfigurable SoC Communication Infrastructure Design Flow.
Proceedings of the 4th IEEE International Symposium on Electronic Design, 2008

A Generation Flow for Self-Reconfiguration Controllers Customization.
Proceedings of the 4th IEEE International Symposium on Electronic Design, 2008

Task Scheduling with Configuration Prefetching and Anti-Fragmentation techniques on Dynamically Reconfigurable Systems.
Proceedings of the Design, Automation and Test in Europe, 2008

An adaptable FPGA-based System for Regular Expression Matching.
Proceedings of the Design, Automation and Test in Europe, 2008

The Shining embedded system design methodology based on self dynamic reconfigurable architectures.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
An adaptive genetic algorithm for dynamically reconfigurable modules allocation.
Proceedings of the VLSI-SoC: Advanced Topics on Systems on a Chip, 2007

ReCPU: a Parallel and Pipelined Architecture for Regular Expression Matching.
Proceedings of the VLSI-SoC: Advanced Topics on Systems on a Chip, 2007

Two Novel Approaches to Online Partial Bitstream Relocation in a Dynamically Reconfigurable System.
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007

Managing Reconfigurable Resources in Heterogeneous Cores Using Portable Pre-Synthesized Templates.
Proceedings of the International Symposium on System-on-Chip, 2007

Dynamic Reconfigurability in Embedded System Design.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Partial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linux.
Proceedings of the 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), 2007

A novel SoC design methodology combining adaptive software and reconfigurable hardware.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

SEU mitigation for sram-based fpgas through dynamic partial reconfiguration.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

Task Partitioning for the Scheduling on Reconfigurable Systems driven by Specification Self-Similarity.
Proceedings of the 2007 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2007

Exploring Partial Reconfiguration for Mitigating SEU faults in SRAM-Based FPGAs.
Proceedings of the 2007 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2007

Evolvable Hardware: A Functional Level Evolution Framework Based on ImpulseC.
Proceedings of the 2007 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2007

TMR and Partial Dynamic Reconfiguration to mitigate SEU faults in FPGAs.
Proceedings of the 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), 2007

2006
Fast IP-Core Generation in a Partial Dynamic Reconfiguration Workflow.
Proceedings of the IFIP VLSI-SoC 2006, 2006

A graph-coloring approach to the allocation and tasks scheduling for reconfigurable architectures.
Proceedings of the IFIP VLSI-SoC 2006, 2006

Hardware DWT accelerator for MultiProcessor System-on-Chip on FPGA.
Proceedings of 2006 International Conference on Embedded Computer Systems: Architectures, 2006

Dynamic Reconfiguration: Core Relocation via Partial Bitstreams Filtering with Minimal Overhead.
Proceedings of the International Symposium on System-on-Chip, 2006

VHDL to FPGA automatic IP-Core generation: a case study on Xilinx design flow.
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006

MorfWeb: A New Way of Living the Web Access.
Proceedings of the 2006 International Conference on Information and Communication Technologies and Development, 2006

Combining hardware reconfiguration and adaptive computation for a novel SoC design methodology.
Proceedings of the 2006 IEEE International Conference on Field Programmable Technology, 2006

Partial Dynamic Reconfiguration: The Caronte Approach. A New Degree of Freedom in the HW/SW Codesign.
Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), 2006

Adaptive Metrics for System-Level Functional Partitioning.
Proceedings of the Forum on specification and Design Languages, 2006

SyCERS: a SystemC Design Exploration Framework for SoC Reconfigurable Architecture.
Proceedings of the 2006 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2006

Synthesis of Object Oriented Models on Reconfigurable Hardware.
Proceedings of the 2006 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2006

Using speculative computation and parallelizing techniques to improve scheduling of control based designs.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
Caronte: A methodology for the Implementation of Partially dynamically Self-Reconfiguring Systems on FPGA Platforms.
Proceedings of the VLSI-SoC: From Systems To Silicon, 2005

Operating system support for dynamically reconfigurable SoC architectures.
Proceedings of the Proceedings 2005 IEEE International SOC Conference, 2005

A Design Methodology for Dynamic Reconfiguration: The Caronte Architecture.
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005

Caronte: A Complete Methodology for the Implementation of Partially Dynamically Self-Reconfiguring Systems on FPGA Platforms.
Proceedings of the 13th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2005), 2005

A Data Oriented Approach to the Design of Reconfigurable Stream Decoders.
Proceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia, 2005


  Loading...