Xiaolin Xu

Orcid: 0000-0001-8393-2783

According to our database1, Xiaolin Xu authored at least 148 papers between 2004 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Hawk: Rapid Android Malware Detection Through Heterogeneous Graph Attention Networks.
IEEE Trans. Neural Networks Learn. Syst., April, 2024

SepBIN: Binary Feature Separation for Better Semantic Comparison and Authorship Verification.
IEEE Trans. Inf. Forensics Secur., 2024

Scheduled Knowledge Acquisition on Lightweight Vector Symbolic Architectures for Brain-Computer Interfaces.
CoRR, 2024

MicroVSA: An Ultra-Lightweight Vector Symbolic Architecture-based Classifier Library for Always-On Inference on Tiny Microcontrollers.
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024

2023
NNSplitter: An Active Defense Solution to DNN Model via Automated Weight Obfuscation.
CoRR, 2023

MetaLDC: Meta Learning of Low-Dimensional Computing Classifiers for Fast On-Device Adaption.
CoRR, 2023

RRNet: Towards ReLU-Reduced Neural Network for Two-party Computation Based Private Inference.
CoRR, 2023

LinGCN: Structural Linearized Graph Convolutional Network for Homomorphically Encrypted Inference.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023

AQ2PNN: Enabling Two-party Privacy-Preserving Deep Neural Network Inference with Adaptive Quantization.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

Facing Unknown: Open-World Encrypted Traffic Classification Based on Contrastive Pre-Training.
Proceedings of the IEEE Symposium on Computers and Communications, 2023

Achieving Certified Robustness for Brain-Inspired Low-Dimensional Computing Classifiers.
Proceedings of the IEEE INFOCOM 2023, 2023

Automated Behavior Identification of Home Security Camera Traffic.
Proceedings of the International Joint Conference on Neural Networks, 2023

NNSplitter: An Active Defense Solution for DNN Model via Automated Weight Obfuscation.
Proceedings of the International Conference on Machine Learning, 2023

SpENCNN: Orchestrating Encoding and Sparsity for Fast Homomorphically Encrypted Neural Network Inference.
Proceedings of the International Conference on Machine Learning, 2023

Geometric Magnification-based Attention Graph Convolutional Network for Skeleton-based Micro-Gesture Recognition.
Proceedings of the IEEE International Conference on Image Processing, 2023

AutoReP: Automatic ReLU Replacement for Fast Private Network Inference.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023

VertexSerum: Poisoning Graph Neural Networks for Link Inference.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023

MirrorNet: A TEE-Friendly Framework for Secure On-Device DNN Inference.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

PASNet: Polynomial Architecture Search Framework for Two-party Computation-based Secure Neural Network Deployment.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

HammerDodger: A Lightweight Defense Framework against RowHammer Attack on DNNs.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

A Semi-supervised Learning Method for Malware Traffic Classification with Raw Bitmaps.
Proceedings of the Collaborative Computing: Networking, Applications and Worksharing, 2023

2022
FPGAPRO: A Defense Framework Against Crosstalk-Induced Secret Leakage in FPGA.
ACM Trans. Design Autom. Electr. Syst., 2022

CRAlert: Hardware-Assisted Code Reuse Attack Detection.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

FLAM-PUF: A Response-Feedback-Based Lightweight Anti-Machine-Learning-Attack PUF.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

STT-MRAM-Based Reliable Weak PUF.
IEEE Trans. Computers, 2022

Resilience-driven repair sequencing decision under uncertainty for critical infrastructure systems.
Reliab. Eng. Syst. Saf., 2022

Demand Pooling in Omnichannel Operations.
Manag. Sci., 2022

Enhanced Sample Self-Revised Network for Cross-Dataset Facial Expression Recognition.
Entropy, 2022

SDFE-LV: A Large-Scale, Multi-Source, and Unconstrained Database for Spotting Dynamic Facial Expressions in Long Videos.
CoRR, 2022

A Brain-Inspired Low-Dimensional Computing Classifier for Inference on Tiny Devices.
CoRR, 2022

A longitudinal Measurement and Analysis Study of Mozi, an Evolving P2P IoT Botnet.
Proceedings of the IEEE International Conference on Trust, 2022

CNN-Based Autonomous Traffic Detection on Unknown Home Security Cameras.
Proceedings of the IEEE International Conference on Trust, 2022

Sample Self-Revised Network for Cross-Dataset Facial Expression Recognition.
Proceedings of the International Joint Conference on Neural Networks, 2022

Autonomous Anti - interference Identification of $\text{IoT}$ Device Traffic based on Convolutional Neural Network.
Proceedings of the International Joint Conference on Neural Networks, 2022

Device Behavior Identification in Encrypted Home Security Camera Traffic.
Proceedings of the 34th IEEE International Conference on Tools with Artificial Intelligence, 2022

ObfuNAS: A Neural Architecture Search-Based DNN Obfuscation Approach.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

A Cautionary Note on Building Multi-tenant Cloud-FPGA as a Secure Infrastructure.
Proceedings of the International Conference on Field-Programmable Technology, 2022

An Integrity Checking Framework for AXI Protocol in Multi-tenant FPGA.
Proceedings of the FPGA '22: The 2022 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Virtual Event, USA, 27 February 2022, 2022

NNReArch: A Tensor Program Scheduling Framework Against Neural Network Architecture Reverse Engineering.
Proceedings of the 30th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2022

HDLock: exploiting privileged encoding to protect hyperdimensional computing models against IP stealing.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

LeHDC: learning-based hyperdimensional computing classifier.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

An Adaptive Ensembled Neural Network-Based Approach to IoT Device Identification.
Proceedings of the Collaborative Computing: Networking, Applications and Worksharing, 2022

2021
HAWK: Rapid Android Malware Detection through Heterogeneous Graph Attention Networks.
CoRR, 2021

A heuristic method to identify optimum seismic retrofit strategies for critical infrastructure systems.
Comput. Aided Civ. Infrastructure Eng., 2021

Deep-Dup: An Adversarial Weight Duplication Attack Framework to Crush Deep Neural Network in Multi-Tenant FPGA.
Proceedings of the 30th USENIX Security Symposium, 2021

Deep Neural Network Security From a Hardware Perspective.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2021

HDCOG: A Lightweight Hyperdimensional Computing Framework with Feature Extraction.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2021

Improvement on Attribute Weighting in Attribute Coordinate Comprehensive Evaluation Method.
Proceedings of the Knowledge Management in Organizations - 15th International Conference, 2021

A Survey of Recent Attacks and Mitigation on FPGA Systems.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2021

MGEL: A Robust Malware Encrypted Traffic Detection Method Based on Ensemble Learning with Multi-grained Features.
Proceedings of the Computational Science - ICCS 2021, 2021

Constructive Use of Process Variations: Reconfigurable and High-Resolution Delay-Line.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

SGX-FPGA: Trusted Execution Environment for CPU-FPGA Heterogeneous Architecture.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

DeepStrike: Remotely-Guided Fault Injection Attacks on DNN Accelerator in Cloud-FPGA.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
CAS-Lock: A Security-Corruptibility Trade-off Resilient Logic Locking Scheme.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2020

A High-Performance and Secure TRNG Based on Chaotic Cellular Automata Topology.
IEEE Trans. Circuits Syst., 2020

Paper currency defect detection algorithm using quaternion uniform strength.
Neural Comput. Appl., 2020

Hierarchical discriminant feature learning for cross-modal face recognition.
Multim. Tools Appl., 2020

Keep it or give back? Optimal pricing strategy of reward-based crowdfunding with a hybrid mechanism in the sharing economy.
Int. J. Prod. Res., 2020

Defeating CAS-Unlock.
IACR Cryptol. ePrint Arch., 2020

Optimal Purchasing Policy for Fresh Products from Multiple Supply Sources with Considerations of Random Delivery Times, Risk, and Information.
Decis. Sci., 2020

A Privacy-Preserving DNN Pruning and Mobile Acceleration Framework.
CoRR, 2020

Mismatch risk allocation in a coproduct supply chain.
Ann. Oper. Res., 2020

Many-Criteria Evaluation of Infrastructure Investment Priorities for Distribution Network Planning.
IEEE Access, 2020

Rethinking FPGA Security in the New Era of Artificial Intelligence.
Proceedings of the 21st International Symposium on Quality Electronic Design, 2020

Stealthy-Shutdown: Practical Remote Power Attacks in Multi - Tenant FPGAs.
Proceedings of the 38th IEEE International Conference on Computer Design, 2020

A Quantitative Defense Framework against Power Attacks on Multi-tenant FPGA.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

A Privacy-Preserving-Oriented DNN Pruning and Mobile Acceleration Framework.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

A Dynamic Frequency Scaling Framework Against Reliability and Security Issues in Multi-tenant FPGA.
Proceedings of the 28th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2020

2019
Electronics Supply Chain Integrity Enabled by Blockchain.
ACM Trans. Design Autom. Electr. Syst., 2019

Joint 3-D Shape Estimation and Landmark Localization From Monocular Cameras of Intelligent Vehicles.
IEEE Internet Things J., 2019

EOP: An Encryption-Obfuscation Solution for Protecting PCBs Against Tampering and Reverse Engineering.
CoRR, 2019

Improving repair sequence scheduling methods for postdisaster critical infrastructure systems.
Comput. Aided Civ. Infrastructure Eng., 2019

Improvement on Subjective Weighing Method in Attribute Coordinate Comprehensive Evaluation Model.
Proceedings of the Knowledge Management in Organizations - 14th International Conference, 2019

PVTMC: An All-Digital Sub-Picosecond Timing Measurement Circuit Based on Process Variations.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

HILL: A Hardware Isolation Framework Against Information Leakage on Multi-Tenant FPGA Long-Wires.
Proceedings of the International Conference on Field-Programmable Technology, 2019

An All-Digital True Random Number Generator Based on Chaotic Cellular Automata Topology.
Proceedings of the International Conference on Computer-Aided Design, 2019

Pontus: A Linguistics-Based DGA Detection System.
Proceedings of the 2019 IEEE Global Communications Conference, 2019

2018
Bimodal Oscillation as a Mechanism for Autonomous Majority Voting in PUFs.
IEEE Trans. Very Large Scale Integr. Syst., 2018

SCARe: An SRAM-Based Countermeasure Against IC Recycling.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Survey on Applications of Formal Methods in Reverse Engineering and Intellectual Property Protection.
J. Hardw. Syst. Secur., 2018

Development and Evaluation of Hardware Obfuscation Benchmarks.
J. Hardw. Syst. Secur., 2018

Efficient Erasable PUFs from Programmable Logic and Memristors.
IACR Cryptol. ePrint Arch., 2018

Hierarchical Discriminant Feature Learning for Heterogeneous Face Recognition.
Proceedings of the IEEE Visual Communications and Image Processing, 2018

Relative Dynamic Modeling of Dual-Arm Coordination Robot.
Proceedings of the IEEE International Conference on Robotics and Biomimetics, 2018

Attribute Coordinate Comprehensive Evaluation Model Combining Principal Component Analysis.
Proceedings of the Intelligence Science II, 2018

Power-based side-channel instruction-level disassembler.
Proceedings of the 55th Annual Design Automation Conference, 2018

On Forwarding Protocols in Linear Topology Wake-up Wireless Sensor Networks.
Proceedings of the Computational Data and Social Networks - 7th International Conference, 2018

2017
Introduction to Morphogenetic Computing
Studies in Computational Intelligence 703, Springer, ISBN: 978-3-319-57614-5, 2017

Poly-Si-Based Physical Unclonable Functions.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Security Beyond CMOS: Fundamentals, Applications, and Roadmap.
IEEE Trans. Very Large Scale Integr. Syst., 2017

ACStor: Optimizing Access Performance of Virtual Disk Images in Clouds.
IEEE Trans. Parallel Distributed Syst., 2017

Study on comprehensive evaluation model of attribute coordinate based on evaluation sample selection by K-means.
J. Comput. Methods Sci. Eng., 2017

An improved efficient identity-based proxy signature in the standard model.
Int. J. Comput. Math., 2017

Novel Bypass Attack and BDD-based Tradeoff Analysis Against all Known Logic Locking Attacks.
IACR Cryptol. ePrint Arch., 2017

Analysis of market competition and information asymmetry on selling strategies.
Ann. Oper. Res., 2017

Routing Optimization of Small Satellite Networks based on Multi-commodity Flow.
EAI Endorsed Trans. Ambient Syst., 2017

Aging resilient RO PUF with increased reliability in FPGA.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2017

A Deep Learning Based Framework for Power Demand Forecasting with Deep Belief Networks.
Proceedings of the 18th International Conference on Parallel and Distributed Computing, 2017

CCATDC: A Configurable Compact Algorithmic Time-to-Digital Converter.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

Research of the Evaluation Index System of Green Port Based on Analysis Approach of Attribute Coordinate.
Proceedings of the Intelligence Science I, 2017

Minimum cost deployment of wireless sensors with wake-up radios.
Proceedings of the 14th IEEE International Conference on Networking, Sensing and Control, 2017

Study on Updating Algorithm of Attribute Coordinate Evaluation Model.
Proceedings of the Intelligent Computing Methodologies - 13th International Conference, 2017

A study of pushing service framework of the personalized learning resources on network learning platform.
Proceedings of the Ninth International Conference on Advanced Computational Intelligence, 2017

FFD: A Framework for Fake Flash Detection.
Proceedings of the 54th Annual Design Automation Conference, 2017

MPA: Model-assisted PCB attestation via board-level RO and temperature compensation.
Proceedings of the 2017 Asian Hardware Oriented Security and Trust Symposium, 2017

2016
Dynamic Database by Inconsistency and Morphogenetic Computing.
Trans. Comput. Collect. Intell., 2016

Surviving in the dispute: A bibliometric analysis of global GMF-related research, 1995-2014.
Scientometrics, 2016

Academic Publishing: Making the Implicit Explicit.
Publ., 2016

Social media policies as responses for social media affordances: The case of China.
Gov. Inf. Q., 2016

A Learning-Based System for Monitoring Electrical Load in Smart Grid.
Proceedings of the 17th International Conference on Parallel and Distributed Computing, 2016

Using Statistical Models to Improve the Reliability of Delay-Based PUFs.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

Event source identification and strength estimation in wireless sensor networks.
Proceedings of the 13th IEEE International Conference on Networking, Sensing, and Control, 2016

A Clockless Sequential PUF with Autonomous Majority Voting.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016

Reliable PUF design using failure patterns from time-controlled power gating.
Proceedings of the 2016 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2016

Novel Low Cost and Double Node Upset Tolerant Latch Design for Nanoscale CMOS Technology.
Proceedings of the 25th IEEE Asian Test Symposium, 2016

2015
Reliable Physical Unclonable Functions Using Data Retention Voltage of SRAM Cells.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

A verifiable hierarchical circular shift cipher scheme for P2P chunk exchanges.
Peer-to-Peer Netw. Appl., 2015

Fairly Sharing the Network for Multitier Applications in Clouds.
Int. J. Web Serv. Res., 2015

An agent-based model to study the market dynamics of perpetual and subscription licensing.
J. Oper. Res. Soc., 2015

Security Evaluation and Enhancement of Bistable Ring PUFs.
IACR Cryptol. ePrint Arch., 2015

PLayPUF: Programmable Logically Erasable PUFs for Forward and Backward Secure Key Management.
IACR Cryptol. ePrint Arch., 2015

Rethink the storage of virtual machine images in clouds.
Future Gener. Comput. Syst., 2015

Consumer returns policies with endogenous deadline and supply chain coordination.
Eur. J. Oper. Res., 2015

Virtual Proofs of Reality and their Physical Implementation.
Proceedings of the 2015 IEEE Symposium on Security and Privacy, 2015

LADP: A lightweight authentication and delegation protocol for RFID tags.
Proceedings of the Seventh International Conference on Ubiquitous and Future Networks, 2015

2014
Diffusion of e-government: A literature review and directions for future directions.
Gov. Inf. Q., 2014

Domain Algorithmically Generated Botnet Detection and Analysis.
Proceedings of the International Conference on Security and Privacy in Communication Networks, 2014

PUF-Based RFID Ownership Transfer Protocol in an Open Environment.
Proceedings of the 15th International Conference on Parallel and Distributed Computing, 2014

SDViNet: A software defined virtual network management platform in IaaS cloud.
Proceedings of the 20th IEEE International Workshop on Local & Metropolitan Area Networks, 2014

Post-Silicon Validation and Calibration of Hardware Security Primitives.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

Programming wireless recharging for target-oriented rechargeable sensor networks.
Proceedings of 11th IEEE International Conference on Networking, Sensing and Control, 2014

A Quantification Method of Qualitative Indices Based on Inverse Conversion Degree Functions.
Proceedings of the Enterprise Systems Conference, 2014

Hybrid side-channel/machine-learning attacks on PUFs: A new threat?
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Characterizing Patterns of Chinese Yiban Blogging Service.
Proceedings of the 2014 International Conference on Cyber-Enabled Distributed Computing and Knowledge Discovery, 2014

Efficient Power and Timing Side Channels for Physical Unclonable Functions.
Proceedings of the Cryptographic Hardware and Embedded Systems - CHES 2014, 2014

2013
PUF Modeling Attacks on Simulated and Silicon Data.
IEEE Trans. Inf. Forensics Secur., 2013

Short Hierarchical Identity-based Encryption in the Selective-ID Model.
J. Softw., 2013

Power and Timing Side Channels for PUFs and their Efficient Exploitation.
IACR Cryptol. ePrint Arch., 2013

Measurement and analysis of Shanghai Xinmin microblog website.
Proceedings of the 2013 IEEE International Conference on Granular Computing, 2013

2012
A recommendation ranking model based on credit.
Proceedings of the 2012 IEEE International Conference on Granular Computing, 2012

2011
Orientation Mining-Driven Approach to Analyze Web Public Sentiment.
J. Softw., 2011

A Ranking Model Based on Credit for Social News Website.
Proceedings of the Emerging Research in Web Information Systems and Mining, 2011

2010
The effect of demand uncertainty in a price-setting newsvendor model.
Eur. J. Oper. Res., 2010

Research and Implementation of Image Encryption Algorithm Based on Zigzag Transformation and Inner Product Polarization Vector.
Proceedings of the 2010 IEEE International Conference on Granular Computing, 2010

2009
An Improved Model of Administrative Decision-making Support System Based on MAS.
Proceedings of the First IITA International Joint Conference on Artificial Intelligence, 2009

A kind of synthetic evaluation method based on the attribute computing network.
Proceedings of the 2009 IEEE International Conference on Granular Computing, 2009

2007
Optimal Commodity Distribution for a Vehicle with Fixed Capacity Under Vendor Managed Inventory.
Proceedings of the Combinatorics, 2007

2004
Optimal production decisions under an uncertain deadline.
Proceedings of the IEEE International Conference on Systems, 2004


  Loading...