Yier Jin

Orcid: 0000-0002-8791-0597

Affiliations:
  • University of Florida, Gainesville, FL, USA


According to our database1, Yier Jin authored at least 200 papers between 2006 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
VoltSchemer: Use Voltage Noise to Manipulate Your Wireless Charger.
CoRR, 2024

Invisible Finger: Practical Electromagnetic Interference Attack on Touchscreen-based Electronic Devices.
CoRR, 2024

Hardware Phi-1.5B: A Large Language Model Encodes Hardware Domain Specific Knowledge.
CoRR, 2024

2023
Side Channel Security Oriented Evaluation and Protection on Hardware Implementations of Kyber.
IEEE Trans. Circuits Syst. I Regul. Pap., December, 2023

Adaptive Sampling and Quick Anomaly Detection in Large Networks.
IEEE Trans Autom. Sci. Eng., October, 2023

CROWBAR: Natively Fuzzing Trusted Applications Using ARM CoreSight.
J. Hardw. Syst. Secur., September, 2023

PDNPulse: Sensing PCB Anomaly With the Intrinsic Power Delivery Network.
IEEE Trans. Inf. Forensics Secur., 2023

EMSim: A Fast Layout Level Electromagnetic Emanation Simulation Framework for High Accuracy Pre-Silicon Verification.
IEEE Trans. Inf. Forensics Secur., 2023

IP-Tag: Tag-Based Runtime 3PIP Hardware Trojan Detection in SoC Platforms.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2023

Fairness-Guaranteed DER Coordination Under False Data Injection Attacks.
IEEE Internet Things J., 2023

HEIR: A Unified Representation for Cross-Scheme Compilation of Fully Homomorphic Computation.
IACR Cryptol. ePrint Arch., 2023

HE<sup>3</sup>DB: An Efficient and Elastic Encrypted Database Via Arithmetic-And-Logic Fully Homomorphic Encryption.
IACR Cryptol. ePrint Arch., 2023

Challenges and Opportunities for Practical and Effective Dynamic Information Flow Tracking.
ACM Comput. Surv., 2023

Improving FSM State Enumeration Performance for Hardware Security with RECUT and REFSM-SAT.
CoRR, 2023

LightEMU: Hardware Assisted Fuzzing of Trusted Applications.
CoRR, 2023

virtCCA: Virtualized Arm Confidential Compute Architecture with TrustZone.
CoRR, 2023

NetViz: A Tool for Netlist Security Visualization.
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023

Energy Efficient Real-Time Scheduling on Heterogeneous Architectures with Self-Suspension.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023

THE-V: Verifiable Privacy-Preserving Neural Network via Trusted Homomorphic Execution.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

EMSim+: Accelerating Electromagnetic Security Evaluation with Generative Adversarial Network.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Dual-Leak: Deep Unsupervised Active Learning for Cross-Device Profiled Side-Channel Leakage Analysis.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2023

Warm-Boot Attack on Modern DRAMs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

HE3DB: An Efficient and Elastic Encrypted Database Via Arithmetic-And-Logic Fully Homomorphic Encryption.
Proceedings of the 2023 ACM SIGSAC Conference on Computer and Communications Security, 2023

NNLeak: An AI-Oriented DNN Model Extraction Attack through Multi-Stage Side Channel Analysis.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2023

2022
Design and Analysis of Secure Distributed Estimator for Vehicular Platooning in Adversarial Environment.
IEEE Trans. Intell. Transp. Syst., 2022

FineDIFT: Fine-Grained Dynamic Information Flow Tracking for Data-Flow Integrity Using Coprocessor.
IEEE Trans. Inf. Forensics Secur., 2022

Security Oriented Design Framework for EM Side-Channel Protection in RTL Implementations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

A 22-nm 1-Mb 1024-b Read Data-Protected STT-MRAM Macro With Near-Memory Shift-and-Rotate Functionality and 42.6-GB/s Read Bandwidth for Security-Aware Mobile Device.
IEEE J. Solid State Circuits, 2022

A Review and Comparison of AI-enhanced Side Channel Analysis.
ACM J. Emerg. Technol. Comput. Syst., 2022

Guest Editorial: Trustworthy AI.
ACM J. Emerg. Technol. Comput. Syst., 2022

EM Side Channels in Hardware Security: Attacks and Defenses.
IEEE Des. Test, 2022

Invisible Finger: Practical Electromagnetic Interference Attack on Touchscreen-based Electronic Devices.
Proceedings of the 43rd IEEE Symposium on Security and Privacy, 2022

SHAPE: Scheduling of Fixed-Priority Tasks on Heterogeneous Architectures with Multiple CPUs and Many PEs.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Graph Neural Network based Hardware Trojan Detection at Intermediate Representative for SoC Platforms.
Proceedings of the GLSVLSI '22: Great Lakes Symposium on VLSI 2022, Irvine CA USA, June 6, 2022

Inter-IP Malicious Modification Detection through Static Information Flow Tracking.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

RTSEC: Automated RTL Code Augmentation for Hardware Security Enhancement.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

PathFinder: side channel protection through automatic leaky paths identification and obfuscation.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Vulnerable PQC against Side Channel Analysis - A Case Study on Kyber.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2022

A Structural and SAT Analysis of SANSCrypt.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2022

2021
In Praise of Exact-Functional-Secrecy in Circuit Locking.
IEEE Trans. Inf. Forensics Secur., 2021

Security-Driven Placement and Routing Tools for Electromagnetic Side-Channel Protection.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

On Sensor Security in the Era of IoT and CPS.
SN Comput. Sci., 2021

Automatic On-Chip Clock Network Optimization for Electromagnetic Side-Channel Protection.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2021

Exploring Adversarial Examples for Efficient Active Learning in Machine Learning Classifiers.
CoRR, 2021

CHIMERA: A Hybrid Estimation Approach to Limit the Effects of False Data Injection Attacks.
Proceedings of the IEEE International Conference on Communications, 2021

Fuzzing Hardware: Faith or Reality? : Invited Paper.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2021

RADM: a risk-aware DER management framework with real-time DER trustworthiness evaluation.
Proceedings of the ICCPS '21: ACM/IEEE 12th International Conference on Cyber-Physical Systems, 2021

Circuit Deobfuscation from Power Side-Channels using Pseudo-Boolean SAT.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

TRRScope: Understanding Target Row Refresh Mechanism for Modern DDR Protection.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2021

Towards scalable, secure, and smart mission-critical IoT systems: review and vision.
Proceedings of the EMSOFT '21: Proceedings of the 2021 International Conference on Embedded Software, Virtual Event, October 8, 2021

Cross-Device Profiled Side-Channel Attacks using Meta-Transfer Learning.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

3D-Adv: Black-Box Adversarial Attacks against Deep Learning Models through 3D Sensors.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Quantifying Rowhammer Vulnerability for DRAM Security.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

PCBench: Benchmarking of Board-Level Hardware Attacks and Trojans.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Robust Roadside Physical Adversarial Attack Against Deep Learning in Lidar Perception Modules.
Proceedings of the ASIA CCS '21: ACM Asia Conference on Computer and Communications Security, 2021

2020
How Secure Is Split Manufacturing in Preventing Hardware Trojan?
ACM Trans. Design Autom. Electr. Syst., 2020

Fast Attack-Resilient Distributed State Estimator for Cyber-Physical Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

SaeCAS: Secure Authenticated Execution Using CAM-Based Vector Storage.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

A Survey of Machine Learning Methods for Detecting False Data Injection Attacks in Power Systems.
CoRR, 2020

CAD for Security: A Full Reverse Engineering Toolchain from Layout to RTL.
Proceedings of the 2020 International Symposium on VLSI Design, Automation and Test, 2020

Breaking Secure Pairing of Bluetooth Low Energy Using Downgrade Attacks.
Proceedings of the 29th USENIX Security Symposium, 2020

CloudLeak: Large-Scale Deep Learning Models Stealing Through Adversarial Examples.
Proceedings of the 27th Annual Network and Distributed System Security Symposium, 2020

MITOS: Optimal Decisioning for the Indirect Flow Propagation Dilemma in Dynamic Information Flow Tracking Systems.
Proceedings of the 40th IEEE International Conference on Distributed Computing Systems, 2020

Special Issue: Resilient Distributed Estimator with Information Consensus for CPS Security.
Proceedings of the 38th IEEE International Conference on Computer Design, 2020

DeepEM: Deep Neural Networks Model Recovery through EM Side-Channel Information Leakage.
Proceedings of the 2020 IEEE International Symposium on Hardware Oriented Security and Trust, 2020

ReGDS: A Reverse Engineering Framework from GDSII to Gate-level Netlist.
Proceedings of the 2020 IEEE International Symposium on Hardware Oriented Security and Trust, 2020

LAHEL: Lightweight Attestation Hardening Embedded Devices using Macrocells.
Proceedings of the 2020 IEEE International Symposium on Hardware Oriented Security and Trust, 2020

A Novel TIGFET-based DFF Design for Improved Resilience to Power Side-Channel Attacks.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Runtime Trust Evaluation and Hardware Trojan Detection Using On-Chip EM Sensors.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

RELIC-FUN: Logic Identification through Functional Signal Comparisons.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Design for EM Side-Channel Security through Quantitative Assessment of RTL Implementations.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

Audio Adversarial Examples Generation with Recurrent Neural Networks<sup>*</sup>.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

PowerScout: A Security-Oriented Power Delivery Network Modeling Framework for Cross-Domain Side-Channel Analysis.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2020

WaLo: Security Primitive Generator for RT-Level Logic Locking and Watermarking.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2020

Protecting Platoons from Stealthy Jamming Attack.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2020

Beyond Digital Domain: Fooling Deep Learning Based Recognition System in Physical World.
Proceedings of the Thirty-Fourth AAAI Conference on Artificial Intelligence, 2020

Robust Adversarial Objects against Deep Learning Models.
Proceedings of the Thirty-Fourth AAAI Conference on Artificial Intelligence, 2020

2019
IP Protection and Supply Chain Security through Logic Obfuscation: A Systematic Overview.
ACM Trans. Design Autom. Electr. Syst., 2019

On the Approximation Resiliency of Logic Locking and IC Camouflaging Schemes.
IEEE Trans. Inf. Forensics Secur., 2019

Provably Secure Camouflaging Strategy for IC Protection.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

On-Chip Analog Trojan Detection Framework for Microprocessor Trustworthiness.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

SoC interconnection protection through formal verification.
Integr., 2019

On the (In)security of Bluetooth Low Energy One-Way Secure Connections Only Mode.
CoRR, 2019

RTL-PSC: Automated Power Side-Channel Leakage Assessment at Register-Transfer Level.
Proceedings of the 37th IEEE VLSI Test Symposium, 2019

Hardware and Software Co-Verification from Security Perspective.
Proceedings of the 20th International Workshop on Microprocessor/SoC Test, 2019

Towards Hardware-Assisted Security for IoT Systems.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

Building a Low-Cost and State-of-the-Art IoT Security Hands-On Laboratory.
Proceedings of the Internet of Things. A Confluence of Many Disciplines, 2019

IcySAT: Improved SAT-based Attacks on Cyclic Locked Circuits.
Proceedings of the International Conference on Computer-Aided Design, 2019

On the Impossibility of Approximation-Resilient Circuit Locking.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2019

QIF-Verilog: Quantitative Information-Flow based Hardware Description Languages for Pre-Silicon Security Assessment.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2019

KC2: Key-Condition Crunching for Fast Sequential Circuit Deobfuscation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

When Capacitors Attack: Formal Method Driven Design and Detection of Charge-Domain Trojans.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

NETA: when IP fails, secrets leak.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

RERTL: Finite State Transducer Logic Recovery at Register Transfer Level.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2019

CAD4EM-P: Security-Driven Placement Tools for Electromagnetic Side Channel Protection.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2019

Resilient Distributed Filter for State Estimation of Cyber-Physical Systems Under Attack.
Proceedings of the 2019 American Control Conference, 2019

2018
Enabling Security-Enhanced Attestation With Intel SGX for Remote Terminal and IoT.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

The Old Frontier of Reverse Engineering: Netlist Partitioning.
J. Hardw. Syst. Secur., 2018

Internet-of-Things Security and Vulnerabilities: Taxonomy, Challenges, and Practice.
J. Hardw. Syst. Secur., 2018

Development and Evaluation of Hardware Obfuscation Benchmarks.
J. Hardw. Syst. Secur., 2018

IoT Security: An End-to-End View and Case Study.
CoRR, 2018

Microarchitectural Minefields: 4K-Aliasing Covert Channel and Multi-Tenant Detection in Iaas Clouds.
Proceedings of the 25th Annual Network and Distributed System Security Symposium, 2018

Hardware Trojan Detection and Functionality Determination for Soft IPs.
Proceedings of the 3rd IEEE International Verification and Security Workshop, 2018

TimingSAT: Decamouflaging Timing-based Logic Obfuscation.
Proceedings of the IEEE International Test Conference, 2018

Security for safety: a path toward building trusted autonomous vehicles.
Proceedings of the International Conference on Computer-Aided Design, 2018

SIN<sup>2</sup>: Stealth infection on neural network - A low-cost agile neural Trojan attack methodology.
Proceedings of the 2018 IEEE International Symposium on Hardware Oriented Security and Trust, 2018

R2D2: Runtime reassurance and detection of A2 Trojan.
Proceedings of the 2018 IEEE International Symposium on Hardware Oriented Security and Trust, 2018

Cross-Lock: Dense Layout-Level Interconnect Locking using Cross-bar Architectures.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

Device attestation: Past, present, and future.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Power-based side-channel instruction-level disassembler.
Proceedings of the 55th Annual Design Automation Conference, 2018

Security analysis and enhancement of model compressed deep learning systems under adversarial attacks.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

PT-spike: A precise-time-dependent single spike neuromorphic architecture with efficient supervised learning.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

Hardware control flow integrity.
Proceedings of the Continuing Arms Race: Code-Reuse Attacks and Defenses, 2018

2017
Silicon Demonstration of Hardware Trojan Design and Detection in Wireless Cryptographic ICs.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Hardware Trojan Detection Through Chip-Free Electromagnetic Side-Channel Statistical Analysis.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Automatic Code Converter Enhanced PCH Framework for SoC Trust Verification.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Introduction to Cyber-Physical System Security: A Cross-Layer Perspective.
IEEE Trans. Multi Scale Comput. Syst., 2017

Data Secrecy Protection Through Information Flow Tracking in Proof-Carrying Hardware IP - Part I: Framework Fundamentals.
IEEE Trans. Inf. Forensics Secur., 2017

Eliminating the Hardware-Software Boundary: A Proof-Carrying Approach for Trust Evaluation on Computer Systems.
IEEE Trans. Inf. Forensics Secur., 2017

Data Secrecy Protection Through Information Flow Tracking in Proof-Carrying Hardware IP - Part II: Framework Automation.
IEEE Trans. Inf. Forensics Secur., 2017

Tunnel FET Current Mode Logic for DPA-Resilient Circuit Designs.
IEEE Trans. Emerg. Top. Comput., 2017

Guest Editorial: Security Challenges in the IoT Regime.
J. Hardw. Syst. Secur., 2017

IP protection through gate-level netlist security enhancement.
Integr., 2017

Wolf in Sheep's Clothing - The Downscaling Attack Against Deep Learning Applications.
CoRR, 2017

Execution Integrity with In-Place Encryption.
CoRR, 2017

LAZARUS: Practical Side-Channel Resilient Kernel-Space Randomization.
Proceedings of the Research in Attacks, Intrusions, and Defenses, 2017

Hardware-Assisted Cybersecurity for IoT Devices.
Proceedings of the 18th International Workshop on Microprocessor and SOC Test and Verification, 2017

Revisit sequential logic obfuscation: Attacks and defenses.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

HA2lloc: Hardware-Assisted Secure Allocator.
Proceedings of the Hardware and Architectural Support for Security and Privacy, 2017

Exploitations of wireless interfaces via network scanning.
Proceedings of the 2017 International Conference on Computing, 2017

ATRIUM: Runtime attestation resilient under memory attacks.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

MT-spike: A multilayer time-based spiking neuromorphic architecture with temporal error backpropagation.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

AppSAT: Approximately deobfuscating integrated circuits.
Proceedings of the 2017 IEEE International Symposium on Hardware Oriented Security and Trust, 2017

Circuit Obfuscation and Oracle-guided Attacks: Who can Prevail?
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

Cyclic Obfuscation for Creating SAT-Unresolvable Circuits.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

An End-to-End View of IoT Security and Privacy.
Proceedings of the 2017 IEEE Global Communications Conference, 2017

Approximate Power Grid Protection Against False Data Injection Attacks.
Proceedings of the 15th IEEE Intl Conf on Dependable, 2017

Estimation of Safe Sensor Measurements of Autonomous System Under Attack.
Proceedings of the 54th Annual Design Automation Conference, 2017

A statistical STT-RAM retention model for fast memory subsystem designs.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

PCH framework for IP runtime security verification.
Proceedings of the 2017 Asian Hardware Oriented Security and Trust Symposium, 2017

2016
Hardware Trojans: Lessons Learned after One Decade of Research.
ACM Trans. Design Autom. Electr. Syst., 2016

Guest Editorial: Hardware/Software Cross-Layer Technologies for Trustworthy and Secure Computing.
IEEE Trans. Multi Scale Comput. Syst., 2016

Emerging Technology-Based Design of Primitives for Hardware Security.
ACM J. Emerg. Technol. Comput. Syst., 2016

The Changing Computing Paradigm With Internet of Things: A Tutorial Introduction.
IEEE Des. Test, 2016

Security of emerging non-volatile memories: Attacks and defenses.
Proceedings of the 34th IEEE VLSI Test Symposium, 2016

Security validation in IoT space.
Proceedings of the 34th IEEE VLSI Test Symposium, 2016

Quantifying trust in autonomous system under uncertainties.
Proceedings of the 29th IEEE International System-on-Chip Conference, 2016

Panel Security and Privacy in the Age of Internet of Things: Opportunities and Challenges.
Proceedings of the 21st ACM on Symposium on Access Control Models and Technologies, 2016

Automatic RTL-to-Formal Code Converter for IP Security Formal Verification.
Proceedings of the 17th International Workshop on Microprocessor and SOC Test and Verification, 2016

Hardware Security Challenges Beyond CMOS: Attacks and Remedies.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

Security Challenges in CPS and IoT: From End-Node to the System.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

Gate-level netlist reverse engineering for hardware security: Control logic register identification.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Emerging challenges in cyber-physical systems: A balance of performance, correctness, and security.
Proceedings of the IEEE Conference on Computer Communications Workshops, 2016

Voting system design pitfalls: Vulnerability analysis and exploitation of a model platform.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

Scalable SoC trust verification using integrated theorem proving and model checking.
Proceedings of the 2016 IEEE International Symposium on Hardware Oriented Security and Trust, 2016

How secure is split manufacturing in preventing hardware trojan?
Proceedings of the 2016 IEEE Asian Hardware-Oriented Security and Trust, 2016

Enhancing Hardware Security with Emerging Transistor Technologies.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016

Using emerging technologies for hardware security beyond PUFs.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Leverage Emerging Technologies For DPA-Resilient Block Cipher Design.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Strategy without tactics: policy-agnostic hardware-enhanced control-flow integrity.
Proceedings of the 53rd Annual Design Automation Conference, 2016

AVFSM: a framework for identifying and mitigating vulnerabilities in FSMs.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Invited - Can IoT be secured: emerging challenges in connecting the unconnected.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Security analysis on consumer and industrial IoT devices.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

Netlist reverse engineering for high-level functionality reconstruction.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
Privacy and Security in Internet of Things and Wearable Devices.
IEEE Trans. Multi Scale Comput. Syst., 2015

Hierarchy-Preserving Formal Verification Methods for Pre-silicon Security Assurance.
Proceedings of the 16th International Workshop on Microprocessor and SOC Test and Verification, 2015

Parallel active dictionary attack on WPA2-PSK Wi-Fi networks.
Proceedings of the 34th IEEE Military Communications Conference, 2015

Reliable and high performance STT-MRAM architectures based on controllable-polarity devices.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Security Policy Enforcement in Modern SoC Designs.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Cyber-physical systems: A security perspective.
Proceedings of the 20th IEEE European Test Symposium, 2015

Impact assessment of net metering on smart home cyberattack detection.
Proceedings of the 52nd Annual Design Automation Conference, 2015

Pre-silicon security verification and validation: a formal perspective.
Proceedings of the 52nd Annual Design Automation Conference, 2015

HAFIX: hardware-assisted flow integrity extension.
Proceedings of the 52nd Annual Design Automation Conference, 2015

Hardware Design and Verification Techniques for Supply Chain Risk Mitigation.
Proceedings of the 14th International Conference on Computer-Aided Design and Computer Graphics, 2015

2014
Design-for-Security vs. Design-for-Testability: A Case Study on DFT Chain in Cryptographic Circuits.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

Real-time trust evaluation in integrated circuits.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

EDA tools trust evaluation through security property proofs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

FIGHT-Metric: Functional Identification of Gate-Level Hardware Trustworthiness.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Embedded System Security in Smart Consumer Electronics.
Proceedings of the 4th International Workshop on Trustworthy Embedded Devices, 2014

Leveraging Emerging Technology for Hardware Security - Case Study on Silicon Nanowire FETs and Graphene SymFETs.
Proceedings of the 23rd IEEE Asian Test Symposium, 2014

2013
A post-deployment IC trust evaluation architecture.
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013

Hardware Trojans in wireless cryptographic ICs: silicon demonstration & detection method evaluation.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

A proof-carrying based framework for trusted microprocessor IP.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

Cycle-accurate information assurance by proof-carrying based signal sensitivity tracing.
Proceedings of the 2013 IEEE International Symposium on Hardware-Oriented Security and Trust, 2013

Reconciling the IC test and security dichotomy.
Proceedings of the 18th IEEE European Test Symposium, 2013

2012
Proof-Carrying Hardware Intellectual Property: A Pathway to Trusted Module Acquisition.
IEEE Trans. Inf. Forensics Secur., 2012

Proof carrying-based information flow tracking for data secrecy protection and hardware trust.
Proceedings of the 30th IEEE VLSI Test Symposium, 2012

Exposing vulnerabilities of untrusted computing platforms.
Proceedings of the 30th International IEEE Conference on Computer Design, 2012

Post-deployment trust evaluation in wireless cryptographic ICs.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
PSCML: Pseudo-Static Current Mode Logic.
Proceedings of the 18th IEEE International Conference on Electronics, Circuits and Systems, 2011

Is single-scheme Trojan prevention sufficient?
Proceedings of the IEEE 29th International Conference on Computer Design, 2011

Enhancing security via provably trustworthy hardware intellectual property.
Proceedings of the HOST 2011, 2011

2010
Hardware Trojans in Wireless Cryptographic ICs.
IEEE Des. Test Comput., 2010

DFTT: Design for Trojan Test.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

2009
Experiences in Hardware Trojan Design and Implementation.
Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2009

2008
Low complexity bit parallel multiplier for GF(2<sup>m</sup>) generated by equally-spaced trinomials.
Inf. Process. Lett., 2008

Hardware Trojan Detection Using Path Delay Fingerprint.
Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2008

2007
Dual-Residue Montgomery Multiplication.
Proceedings of the Network and Parallel Computing, IFIP International Conference, 2007

2006
Unbalanced Exponent Modular Reduction over Binary Field and Its Implementation.
Proceedings of the First International Conference on Innovative Computing, Information and Control (ICICIC 2006), 30 August, 2006

Interconnect Estimation for Mesh-Based Reconfigurable Computing.
Proceedings of the Embedded and Ubiquitous Computing, International Conference, 2006


  Loading...