Michael Scheuermann

According to our database1, Michael Scheuermann authored at least 13 papers between 2011 and 2022.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
A 7-nm Four-Core Mixed-Precision AI Chip With 26.2-TFLOPS Hybrid-FP8 Training, 104.9-TOPS INT4 Inference, and Workload-Aware Throttling.
IEEE J. Solid State Circuits, 2022

2021


2020
Efficient AI System Design With Cross-Layer Approximate Computing.
Proc. IEEE, 2020


2018
IBM z14: Enabling physical design in 14-nm technology for high-performance, high-reliability microprocessors.
IBM J. Res. Dev., 2018



2016
Thermal analysis of multi-layer functional 3D logic stacks.
Proceedings of the 2016 IEEE International 3D Systems Integration Conference, 2016

2013
Transient modeling of TSV-wire electromigration and lifetime analysis of power distribution network for 3D ICs.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

2012
A 3D system prototype of an eDRAM cache stacked over processor-like logic using through-silicon vias.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

Analysis of DC current crowding in through-silicon-vias and its impact on power integrity in 3D ICs.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
Design, CAD and technology challenges for future processors: 3D perspectives.
Proceedings of the 48th Design Automation Conference, 2011


  Loading...