Phillip J. Restle

Orcid: 0000-0002-3124-4265

Affiliations:
  • IBM Thomas J. Watson Research Center, Yorktown Heights, NY, USA


According to our database1, Phillip J. Restle authored at least 47 papers between 1997 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Deterministic Frequency and Voltage Enhancements on the POWER10 Processor.
IEEE J. Solid State Circuits, 2023

2022
Deterministic Frequency Boost and Voltage Enhancements on the POWER10<sup>TM</sup> Processor.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

2019
IBM z14: Processor Characterization and Power Management for High-Reliability Mainframe Systems.
IEEE J. Solid State Circuits, 2019

2018
The 24-Core POWER9 Processor With Adaptive Clocking, 25-Gb/s Accelerator Links, and 16-Gb/s PCIe Gen4.
IEEE J. Solid State Circuits, 2018

Droop mitigation using critical-path sensors and an on-chip distributed power supply estimation engine in the z14™ enterprise processor.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

Session 7 overview: Neuromorphic, clocking and security circuits: Digital circuits subcommittee.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

EE5: Lessons learned - Great circuits that didn't work - (Oops, if only i had known!).
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

2017
26.5 Adaptive clocking in the POWER9™ processor for voltage droop protection.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

26.2 Power supply noise in a 22nm z13™ microprocessor.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

2016
Thermal analysis of multi-layer functional 3D logic stacks.
Proceedings of the 2016 IEEE International 3D Systems Integration Conference, 2016

2015
The 12-Core POWER8™ Processor With 7.6 Tb/s IO Bandwidth, Integrated Voltage Regulation, and Resonant Clocking.
IEEE J. Solid State Circuits, 2015

IBM POWER8 circuit design and energy optimization.
IBM J. Res. Dev., 2015

Resonant clock mega-mesh for the IBM z13<sup>TM</sup>.
Proceedings of the Symposium on VLSI Circuits, 2015

2014
Pacman: driving nonuniform clock grid loads for low-skew robust clock network.
Proceedings of the ACM/IEEE International Workshop on System Level Interconnect Prediction, 2014

5.3 Wide-frequency-range resonant clock with on-the-fly mode changing for the POWER8<sup>TM</sup> microprocessor.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

5.1 POWER8<sup>TM</sup>: A 12-core server-class processor in 22nm SOI with 7.6Tb/s off-chip bandwidth.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

The POWER8<sup>TM</sup> processor: Designed for big data, analytics, and cloud environments.
Proceedings of the 2014 IEEE International Conference on IC Design & Technology, 2014

Optimization and modeling of resonant clocking inductors for the POWER8<sup>TM</sup> microprocessor.
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, 2014

2013
On-chip circuit for measuring multi-GHz clock signal waveforms.
Proceedings of the 31st IEEE VLSI Test Symposium, 2013

2012
Subtractive Router for Tree-Driven-Grid Clocks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

A shorted global clock design for multi-GHz 3D stacked chips.
Proceedings of the Symposium on VLSI Circuits, 2012

2011
POWER7™, a Highly Parallel, Scalable Multi-Core High End Server Processor.
IEEE J. Solid State Circuits, 2011

Myth busters: Microprocessor clocking is from Mars, ASICs clocking is from Venus.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

2009
A Resonant Global Clock Distribution for the Cell Broadband Engine Processor.
IEEE J. Solid State Circuits, 2009

Ispd2009 clock network synthesis contest.
Proceedings of the 2009 International Symposium on Physical Design, 2009

2008
On-chip Timing Uncertainty Measurements on IBM Microprocessors.
Proceedings of the 2008 IEEE International Test Conference, 2008

2007
IBM POWER6 microprocessor physical design and design methodology.
IBM J. Res. Dev., 2007

Comparison of Split-Versus Connected-Core Supplies in the POWER6 Microprocessor.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

2006
Distributed Differential Oscillators for Global Clock Networks.
IEEE J. Solid State Circuits, 2006

A 5GHz Duty-Cycle Correcting Clock Distribution Network for the POWER6 Microprocessor.
Proceedings of the 2006 IEEE International Solid State Circuits Conference, 2006

2005
Uniform-phase uniform-amplitude resonant-load global clock distributions.
IEEE J. Solid State Circuits, 2005

Characterization of the impact of interconnect design on the capacitive load driven by a global clock distribution.
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005

New Prospects for Clocking Synchronous and Quasi-Asynchronous Systems.
Proceedings of the 11th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2005), 2005

2004

2003
Loop-based interconnect modeling and optimization approach for multigigahertz clock network design.
IEEE J. Solid State Circuits, 2003

Design of Resonant Global Clock Distributions.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003

2002
The circuit and physical design of the POWER4 microprocessor.
IBM J. Res. Dev., 2002

Loop-based interconnect modeling and optimization approach for multi-GHz clock network design.
Proceedings of the IEEE 2002 Custom Integrated Circuits Conference, 2002

2001
Full-wave PEEC time-domain method for the modeling of on-chipinterconnects.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

On-chip wiring design challenges for gigahertz operation.
Proc. IEEE, 2001

A clock distribution network for microprocessors.
IEEE J. Solid State Circuits, 2001

Multi-GHz interconnect effects in microprocessors.
Proceedings of the 2001 International Symposium on Physical Design, 2001

Technical Visualizations in VLSI Design.
Proceedings of the 38th Design Automation Conference, 2001

1999
Dealing with Inductance in High-Speed Chip Design.
Proceedings of the 36th Conference on Design Automation, 1999

1998
Measurement and modeling of on-chip transmission line effects in a 400 MHz microprocessor.
IEEE J. Solid State Circuits, 1998

Interconnect in high speed designs: problems, methodologies and tools.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998

1997
A 4.1-ns compact 54×54-b multiplier utilizing sign-select Booth encoders.
IEEE J. Solid State Circuits, 1997


  Loading...