Yuen H. Chan

According to our database1, Yuen H. Chan authored at least 26 papers between 1993 and 2018.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2018
IBM z14: Enabling physical design in 14-nm technology for high-performance, high-reliability microprocessors.
IBM J. Res. Dev., 2018

2015

2014
Circuit and Physical Design of the zEnterprise™ EC12 Microprocessor Chips and Multi-Chip Module.
IEEE J. Solid State Circuits, 2014

2013

7GHz L1 cache SRAMs for the 32nm zEnterprise™ EC12 processor.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

2012
Circuit and Physical Design Implementation of the Microprocessor Chip for the zEnterprise System.
IEEE J. Solid State Circuits, 2012

2011

Dynamic hit logic with embedded 8Kb SRAM in 45nm SOI for the zEnterprise™ processor.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

2010
The Dawn of Predictive Chip Yield Design: Along and Beyond the Memory Lane.
IEEE Des. Test Comput., 2010

2009
Design of Sub-90 nm Low-Power and Variation Tolerant PD/SOI SRAM Cell Based on Dynamic Stability Metrics.
IEEE J. Solid State Circuits, 2009

2008
A Sub-600-mV, Fluctuation Tolerant 65-nm CMOS SRAM Array With Dynamic Cell Biasing.
IEEE J. Solid State Circuits, 2008

2007
IBM POWER6 SRAM arrays.
IBM J. Res. Dev., 2007


2006
A 5.6GHz 64kB Dual-Read Data Cache for the POWER6TM Processor.
Proceedings of the 2006 IEEE International Solid State Circuits Conference, 2006

4GHz+ low-latency fixed-point and binary floating-point execution units for the POWER6 processor.
Proceedings of the 2006 IEEE International Solid State Circuits Conference, 2006

2005
An advanced optical diagnostic technique of IBM z990 eServer microprocessor.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

A 8Kb domino read SRAM with hit logic and parity checker.
Proceedings of the 31st European Solid-State Circuits Conference, 2005

A novel circuit topology for generating and validating digitally sense amplifier differentials for bulk and SOI.
Proceedings of the 31st European Solid-State Circuits Conference, 2005

2004
Variability analysis for sub-100 nm PD/SOI CMOS SRAM cell.
Proceedings of the 33rd European Solid-State Circuits Conference, 2004

2002
IBM eServer z900 high-frequency microprocessor technology, circuits, and design methodology.
IBM J. Res. Dev., 2002

1999
The attack of the "Holey Shmoos": a case study of advanced DFD and picosecond imaging circuit analysis (PICA).
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999

1998
Deep submicron design techniques for the 500 MHz IBM S/390 G5 custom microprocessor.
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998

1997
A 4.1-ns compact 54×54-b multiplier utilizing sign-select Booth encoders.
IEEE J. Solid State Circuits, 1997

Circuit design techniques for the high-performance CMOS IBM S/390 Parallel Enterprise Server G4 microprocessor.
IBM J. Res. Dev., 1997

High-Performance CMOS Circuit Techniques for the G-4 S/390 Microprocessor.
Proceedings of the Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, 1997

1993
Design SRAMs for burn-in.
Proceedings of the 11th IEEE VLSI Test Symposium (VTS'93), 1993


  Loading...