Leland Chang

According to our database1, Leland Chang authored at least 50 papers between 2003 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024

2023
A Switched-Capacitor Integer Compute Unit with Decoupled Storage and Arithmetic for Cloud AI Inference in 5nm CMOS.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

2022
A 7-nm Four-Core Mixed-Precision AI Chip With 26.2-TFLOPS Hybrid-FP8 Training, 104.9-TOPS INT4 Inference, and Workload-Aware Throttling.
IEEE J. Solid State Circuits, 2022

Deep Compression of Pre-trained Transformer Models.
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022

2021


2020
Efficient AI System Design With Cross-Layer Approximate Computing.
Proc. IEEE, 2020


2019
DeepTools: Compiler and Execution Runtime Extensions for RaPiD AI Accelerator.
IEEE Micro, 2019

Performance-driven Programming of Multi-TFLOP Deep Learning Accelerators.
Proceedings of the IEEE International Symposium on Workload Characterization, 2019

Memory and Interconnect Optimizations for Peta-Scale Deep Learning Systems.
Proceedings of the 26th IEEE International Conference on High Performance Computing, 2019

BiScaled-DNN: Quantizing Long-tailed Datastructures with Two Scale Factors for Deep Neural Networks.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018

Session 31 overview: Computation in memory for machine learning: Technology directions and memory subcommittees.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

Session 30 overview: Emerging memories: Memory and technology directions subcommittees.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

Session 20 overview: Flash-memory solutions: Memory subcommittee.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

Session 11 overview: SRAM: Memory subcommittee.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

Session 12 overview: DRAM: Memory subcommittee.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

Taming the beast: Programming Peta-FLOP class Deep Learning Systems.
Proceedings of the International Symposium on Low Power Electronics and Design, 2018


Compensated-DNN: energy efficient low-precision deep neural networks by compensating quantization errors.
Proceedings of the 55th Annual Design Automation Conference, 2018

2017
Session 23 overview: DRAM, MRAM & DRAM interfaces.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

Session 11 overview: Nonvolatile memory solutions.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

Session 12 overview: SRAM.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

Cognitive Data-Centric Systems.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

POSTER: Design Space Exploration for Performance Optimization of Deep Neural Networks on Shared Memory Accelerators.
Proceedings of the 26th International Conference on Parallel Architectures and Compilation Techniques, 2017

2016
A Low Ripple Switched-Capacitor Voltage Regulator Using Flying Capacitance Dithering.
IEEE J. Solid State Circuits, 2016

Synthesis design strategies for energy-efficient microprocessors.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

2015
A fully-integrated 40-phase flying-capacitance-dithered switched-capacitor voltage regulator with 6mV output ripple.
Proceedings of the Symposium on VLSI Circuits, 2015

Session 17 overview: Embedded memory and DRAM I/O: Memory subcommittee.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

F2: Memory trends: From big data to wearable devices.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

2014
1 Mb 0.41 µm<sup>2</sup> 2T-2R Cell Nonvolatile TCAM With Two-Bit Encoding and Clocked Self-Referenced Sensing.
IEEE J. Solid State Circuits, 2014

Highlights of the ISSCC 2013 Processors and High Performance Digital Sessions.
IEEE J. Solid State Circuits, 2014

ES2: Data centers to support tomorrow's cloud.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

2013
Low-Power Circuit Analysis and Design Based on Heterojunction Tunneling Transistors (HETTs).
IEEE Trans. Very Large Scale Integr. Syst., 2013

A 0.1pJ/b 5-to-10Gb/s charge-recycling stacked low-power I/O for on-chip signaling in 45nm CMOS SOI.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

F2: VLSI power-management techniques: Principles and applications.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

2012
Session 13 overview: High-performance embedded SRAM: Memory subcommittee.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

Near-threshold operation for power-efficient computing?: it depends...
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
A 512kb 8T SRAM Macro Operating Down to 0.57 V With an AC-Coupled Sense Amplifier and Embedded Data-Retention-Voltage Sensor in 45 nm SOI CMOS.
IEEE J. Solid State Circuits, 2011

Guest editors' introduction: Nanoscale Memories Pose Unique Challenges.
IEEE Des. Test Comput., 2011

Future system and memory architectures: Transformations by technology and applications.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

A 4R2W register file for a 2.3GHz wire-speed POWER™ processor with double-pumped write operation.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

A 45nm CMOS neuromorphic chip with a scalable architecture for learning in networks of spiking neurons.
Proceedings of the 2011 IEEE Custom Integrated Circuits Conference, 2011

2010
Practical Strategies for Power-Efficient Computing Technologies.
Proc. IEEE, 2010

A 512kb 8T SRAM macro operating down to 0.57V with an AC-coupled sense amplifier and embedded data-retention-voltage sensor in 45nm SOI CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

2009
Low power circuit design based on heterojunction tunneling transistors (HETTs).
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009

2008
An 8T-SRAM for Variability Tolerance and Low-Voltage Operation in High-Performance Caches.
IEEE J. Solid State Circuits, 2008

2006
Ultralow-voltage, minimum-energy CMOS.
IBM J. Res. Dev., 2006

2003
Extremely scaled silicon nano-CMOS devices.
Proc. IEEE, 2003


  Loading...