Mingu Kang

Orcid: 0000-0001-8104-5136

According to our database1, Mingu Kang authored at least 63 papers between 2009 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Split WL 6T SRAM-Based Bit Serial Computing-in-Memory Macro With High Signal Margin and High Throughput.
IEEE Trans. Circuits Syst. II Express Briefs, April, 2024

FreFlex: A High-Performance Processor for Convolution and Attention Computations via Sparsity-Adaptive Dynamic Frequency Boosting.
IEEE J. Solid State Circuits, March, 2024

SPADE: Sparse Pillar-based 3D Object Detection Accelerator for Autonomous Driving.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2024

Mixed-Signal Dot-Product Processor with Switched-Capacitors for Machine Learning.
Proceedings of the International Conference on Electronics, Information, and Communication, 2024

2023
Which Exceptions Do We Have to Catch in the Python Code for AI Projects?
Int. J. Softw. Eng. Knowl. Eng., March, 2023

PillarAcc: Sparse PointPillars Accelerator for Real-Time Point Cloud 3D Object Detection on Edge Devices.
CoRR, 2023

NCDE: In-Network Caching for Directory Entries to Expedite Data Access in Tiled-Chip Multiprocessors.
IEEE Access, 2023

A Switched-Capacitor Integer Compute Unit with Decoupled Storage and Arithmetic for Cloud AI Inference in 5nm CMOS.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

High-Speed Wafer Temperature Control Approach of Step Chiller for Semiconductor Manufacturing Equipment.
Proceedings of the 49th Annual Conference of the IEEE Industrial Electronics Society, 2023

Benchmarking Self-Supervised Learning on Diverse Pathology Datasets.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023

AI Processor with Sparsity-adaptive Real-time Dynamic Frequency Modulation for Convolutional Neural Networks and Transformers.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023

2022
A 7-nm Four-Core Mixed-Precision AI Chip With 26.2-TFLOPS Hybrid-FP8 Training, 104.9-TOPS INT4 Inference, and Workload-Aware Throttling.
IEEE J. Solid State Circuits, 2022

The effects of socio-technical integration on sustainability practices: a supply chain perspective.
Ind. Manag. Data Syst., 2022

Supply chain planning and innovation performance: the moderated mediation effect of IT usage.
Ind. Manag. Data Syst., 2022

Gradle-Autofix: An Automatic Resolution Generator for Gradle Build Error.
Int. J. Softw. Eng. Knowl. Eng., 2022

On-the-Fly Lowering Engine: Offloading Data Layout Conversion for Convolutional Neural Networks.
IEEE Access, 2022

Sparse Attention Acceleration with Synergistic In-Memory Pruning and On-Chip Recomputation.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022

Accelerating attention through gradient-based learned runtime pruning.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022

Graph Summarization for Human-Understandable Visualization towards CVE Data Analysis.
Proceedings of the IEEE International Conference on Big Data and Smart Computing, 2022

2021
Mental Stress Classification Based on a Support Vector Machine and Naive Bayes Using Electrocardiogram Signals.
Sensors, 2021

Resilience against Adversarial Examples: Data-Augmentation Exploiting Generative Adversarial Networks.
KSII Trans. Internet Inf. Syst., 2021

Enablers of supply chain integration: a technology-organization-environment view.
Ind. Manag. Data Syst., 2021

RankingMatch: Delving into Semi-Supervised Learning with Consistency Regularization and Ranking Loss.
CoRR, 2021

The Effect of Intellectual Leadership on Mass Customization: Moderated Mediation Effect of Customer Market Knowledge.
IEEE Access, 2021


Understanding and Reducing Weight-Load Overhead of Systolic Deep Learning Accelerators.
Proceedings of the 18th International SoC Design Conference, 2021


ReRankMatch: Semi-Supervised Learning with Semantics-Oriented Similarity Representation.
Proceedings of the International Joint Conference on Neural Networks, 2021

CAP-GAN: Towards Adversarial Robustness with Cycle-consistent Attentional Purification.
Proceedings of the International Joint Conference on Neural Networks, 2021

2020
Deep In-Memory Architectures for Machine Learning-Accuracy Versus Efficiency Trade-Offs.
IEEE Trans. Circuits Syst. I Fundam. Theory Appl., 2020

Efficient AI System Design With Cross-Layer Approximate Computing.
Proc. IEEE, 2020

Deep In-Memory Architectures in SRAM: An Analog Approach to Approximate Computing.
Proc. IEEE, 2020

Interfirm networks and search-transfer problem: the role of geographic proximity.
Ind. Manag. Data Syst., 2020

Applying Tensor Decomposition to image for Robustness against Adversarial Attack.
CoRR, 2020


Electromyogram-based algorithm using bagged trees for biometric person authentication and motion recognition.
Proceedings of the 2020 IEEE International Conference on Consumer Electronics (ICCE), 2020

Multi bio-signal based algorithm using EMD and FFT for stress analysis.
Proceedings of the 2020 IEEE International Conference on Consumer Electronics (ICCE), 2020

2019
An Energy-Efficient Programmable Mixed-Signal Accelerator for Machine Learning Algorithms.
IEEE Micro, 2019

An MRAM-Based Deep In-Memory Architecture for Deep Neural Networks.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

2018
Generalized Water-Filling for Source-Aware Energy-Efficient SRAMs.
IEEE Trans. Commun., 2018

A Multi-Functional In-Memory Inference Processor Using a Standard 6T SRAM Array.
IEEE J. Solid State Circuits, 2018

A 19.4-nJ/Decision, 364-K Decisions/s, In-Memory Random Forest Multi-Class Inference Accelerator.
IEEE J. Solid State Circuits, 2018

A Variation-Tolerant In-Memory Machine Learning Classifier via On-Chip Training.
IEEE J. Solid State Circuits, 2018

Risk management and firm performance: the moderating role of supplier integration.
Ind. Manag. Data Syst., 2018

Supply chain integration and its impact on sustainability.
Ind. Manag. Data Syst., 2018

Supply chain integration and coordination for international sourcing in the context of China's processing trade.
Ind. Manag. Data Syst., 2018

An In-Memory VLSI Architecture for Convolutional Neural Networks.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2018

Optimal Placement and Sizing of DG and Shunt Capacitor for Power Loss Minimization in an Islanded Distribution System.
Proceedings of the Smart Grid and Innovative Frontiers in Telecommunications, 2018

A 42pJ/decision 3.12TOPS/W robust in-memory machine learning classifier with on-chip training.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

SRAM Bit-line Swings Optimization using Generalized Waterfilling.
Proceedings of the 2018 IEEE International Symposium on Information Theory, 2018

Energy-Efficient Deep In-memory Architecture for NAND Flash Memories.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

PROMISE: An End-to-End Design of a Programmable Mixed-Signal Accelerator for Machine-Learning Algorithms.
Proceedings of the 45th ACM/IEEE Annual International Symposium on Computer Architecture, 2018

2017
Deep in-memory computing
PhD thesis, 2017

A 19.4 nJ/decision 364K decisions/s in-memory random forest classifier in 6T SRAM array.
Proceedings of the 43rd IEEE European Solid State Circuits Conference, 2017

2016
In-Memory Computing Architectures for Sparse Distributed Memory.
IEEE Trans. Biomed. Circuits Syst., 2016

Reducing the Energy Cost of Inference via In-sensor Information Processing.
CoRR, 2016

A 481pJ/decision 3.4M decision/s Multifunctional Deep In-memory Inference Processor using Standard 6T SRAM Array.
CoRR, 2016

2015
Energy-efficient and high throughput sparse distributed memory architecture.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

An energy-efficient memory-based high-throughput VLSI architecture for convolutional networks.
Proceedings of the 2015 IEEE International Conference on Acoustics, 2015

FamCom: A Communication Service Enhancing Conversation Quality Between Elders Residing in Care Hospital and Their Family Member.
Proceedings of the 33rd Annual ACM Conference Extended Abstracts on Human Factors in Computing Systems, 2015

2013
Dynamic mixed serial-parallel content addressable memory (DMSP CAM).
Int. J. Circuit Theory Appl., 2013

2012
Experimental observation of gate geometry dependent characteristic degradations of the multi-finger MOSFETs.
Microelectron. Reliab., 2012

2009
Serial-Parallel Content Addressable Memory with a Conditional Driver (SPCwCD).
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009


  Loading...