Min Li

Affiliations:
  • IMEC, Heverlee, Belgium


According to our database1, Min Li authored at least 67 papers between 2006 and 2018.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2018
TouchSpeaker, a Multi-sensor Context-Aware Application for Mobile Devices: from Application to Implementation.
J. Signal Process. Syst., 2018

2016
An Energy-Efficient Reconfigurable ASIP Supporting Multi-mode MIMO Detection.
J. Signal Process. Syst., 2016

Data Flow Transformation for Energy-Efficient Implementation of Givens Rotation-Based QRD.
ACM Trans. Embed. Comput. Syst., 2016

In-Air Ultrasonic 3D-Touchscreen with Gesture Recognition Using Existing Hardware for Smart Devices.
Proceedings of the 2016 IEEE International Workshop on Signal Processing Systems, 2016

TouchSpeaker, a Multi-Sensor Context-Aware Application for Mobile Devices.
Proceedings of the 2016 IEEE International Workshop on Signal Processing Systems, 2016

2015
Opportunities and Challenges of Digital Signal Processing in Deeply Technology-Scaled Transceivers.
J. Signal Process. Syst., 2015

Efficient Timing Mismatch Correction for Low-Cost Digital-Mixing Transmitter.
IEEE Trans. Signal Process., 2015

On the General Mathematical Framework, Calibration/Compensation Method, and Applications of Non-Ideal Software Defined Harmonics Rejection Transceivers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

Max-log demapper architecture design for DVB-T2 rotated QAM constellations.
Proceedings of the 2015 IEEE Workshop on Signal Processing Systems, 2015

<30 mW rectangular-to-polar conversion processor in 802.11ad polar transmitter.
Proceedings of the 2015 IEEE International Conference on Acoustics, 2015

2014
Efficient duty-cycle mismatch compensation in digital transmitter.
Proceedings of the IEEE International Conference on Acoustics, 2014

Towards approaching near-optimal MIMO detection performance ONAC-programmable baseband processor.
Proceedings of the IEEE International Conference on Acoustics, 2014

Energy efficient data flow transformation for Givens Rotation based QR Decomposition.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
Exploration of Full HD Media Decoding on a Software Defined Radio Baseband Processor.
IEEE Trans. Signal Process., 2013

Exploration of Lattice Reduction Aided Soft-Output MIMO Detection on a DLP/ILP Baseband Processor.
IEEE Trans. Signal Process., 2013

An area and energy efficient half-row-paralleled layer LDPC decoder for the 802.11AD standard.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2013

Efficient self-correction scheme for static non-idealities in nano-scale quadrature digital RF transmitters.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2013

Signal processing challenges for emerging digital intensive and digitally assisted transceivers with deeply scaled technology (Invited).
Proceedings of the IEEE Workshop on Signal Processing Systems, 2013

A computationally efficient soft-output Lattice Reduction-aided Selective Spanning Sphere Decoder for wireless MIMO systems.
Proceedings of the 24th IEEE Annual International Symposium on Personal, 2013

A unified receiver signal processing architecture for all modes of the DTMB broadcasting system.
Proceedings of the 24th IEEE Annual International Symposium on Personal, 2013

Adaptive filter based low complexity digital intensive harmonic rejection for SDR receiver.
Proceedings of the IEEE International Conference on Acoustics, 2013

Processor based 20Mhz 4×4 Cat-5 LTE MIMO receiver with advanced detectors.
Proceedings of the IEEE International Conference on Acoustics, 2013

A processor based multi-standard low-power LDPC engine for multi-Gbps wireless communication.
Proceedings of the IEEE Global Conference on Signal and Information Processing, 2013

A C-programmable baseband processor with inner modem implementations for LTE Cat-4/5/7 and Gbps 80MHz 4×4 802.11ac (invited).
Proceedings of the IEEE Global Conference on Signal and Information Processing, 2013

CMOS low-power transceivers for 60GHz multi Gbit/s communications.
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, 2013

2012
Algorithm-Architecture Co-Optimization of Area-Efficient SDR Baseband for Highly Diversified Digital TV Standards.
Proceedings of the 75th IEEE Vehicular Technology Conference, 2012

Exploration of Full HD Media Decoding on SDR Baseband Processor.
Proceedings of the 2012 IEEE Workshop on Signal Processing Systems, 2012

A Generic Framework for Optimizing Digital Intensive Harmonic Rejection Receivers.
Proceedings of the 2012 IEEE Workshop on Signal Processing Systems, 2012

Reduced Complexity On-chip IQ-Imbalance Self-Calibration.
Proceedings of the 2012 IEEE Workshop on Signal Processing Systems, 2012

A Geometrical Approach for Highly Efficient Soft Demodulation of Rotated Constellations.
Proceedings of the 2012 IEEE Workshop on Signal Processing Systems, 2012

Exploiting frequency correlation in LTE to reduce HARQ memory.
Proceedings of the 2012 IEEE Global Communications Conference, 2012

Lattice Reduction aided Selective Spanning with Fast Enumeration for soft-output MIMO detection.
Proceedings of the 20th European Signal Processing Conference, 2012

2011
Energy Aware Signal Processing for Software Defined Radio Baseband Implementation.
J. Signal Process. Syst., 2011

Exploration of Soft-Output MIMO Detector Implementations on Massive Parallel Processors.
J. Signal Process. Syst., 2011

Beamforming for interference mitigation and its implementation on an SDR baseband processor.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2011

Energy efficient PRACH detector algorithm in SDR for LTE femtocells.
Proceedings of the 18th IEEE Symposium on Communications and Vehicular Technology in the Benelux, 2011

Overview of a Software Defined Downlink Inner Receiver for Category-E LTE-Advanced UE.
Proceedings of IEEE International Conference on Communications, 2011

Scalable Block-Based Parallel Lattice Reduction Algorithm for an SDR Baseband Processor.
Proceedings of IEEE International Conference on Communications, 2011

Opportunities for energy savings in pico/femto-cell base-stations.
Proceedings of the 2011 Future Network & Mobile Summit, Warsaw, Poland, June 15-17, 2011, 2011

Hybrid lattice reduction algorithm and its implementation on an SDR baseband processor for LTE.
Proceedings of the 19th European Signal Processing Conference, 2011

2010
Statistical Performance Analysis and Estimation for Parallel Multimedia Processing.
J. Signal Process. Syst., 2010

Future Software-Defined Radio Platforms and Mapping Flows.
IEEE Signal Process. Mag., 2010

Exploiting finite precision information to guide data-flow mapping.
Proceedings of the 47th Design Automation Conference, 2010

2009
Energy Aware Algorithm and Implementation of SDR Oriented HSDPA Chip Level Equalizer.
J. Signal Process. Syst., 2009

Generic Multiphase Software Pipelined Partial FFT on Instruction Level Parallel Architectures.
IEEE Trans. Signal Process., 2009

Locality optimization in a compiler for wireless applications.
Des. Autom. Embed. Syst., 2009

Power-aware evaluation flowfor digital decimation filter architectures for high-speed ADCS.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2009

Novel energy-efficient scalable soft-output SSFE MIMO detector architectures.
Proceedings of the 2009 International Conference on Embedded Computer Systems: Architectures, 2009

A System Level Algorithmic Approach toward Energy-Aware SDR Baseband Implementations.
Proceedings of IEEE International Conference on Communications, 2009

Finite precision processing in wireless applications.
Proceedings of the Design, Automation and Test in Europe, 2009

Algorithm-architecture co-design of soft-output ML MIMO detector for parallel application specific instruction set processors.
Proceedings of the Design, Automation and Test in Europe, 2009

2008
Application-driven adaptive fixed-point refinement for SDRs.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2008

An implementation friendly low complexity multiplierless LLR generator for soft MIMO sphere decoders.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2008

Selective Spanning with Fast Enumeration: A Near Maximum-Likelihood MIMO Detector Designed for Parallel Programmable Baseband Architectures.
Proceedings of IEEE International Conference on Communications, 2008

Bridging the energy gap in size, weight and power constrained software defined radio: Agile baseband processing as a key enabler.
Proceedings of the IEEE International Conference on Acoustics, 2008

Adaptive SSFE Near-ML MIMO Detector with Dynamic Search Range and 80-103Mbps Flexible Implementation.
Proceedings of the Global Communications Conference, 2008. GLOBECOM 2008, New Orleans, LA, USA, 30 November, 2008

Generic Multi-Phase Software-Pipelined Partial-FFT on Instruction-Level-Parallel Architectures and SDR Baseband Applications.
Proceedings of the Design, Automation and Test in Europe, 2008

Optimizing Near-ML MIMO Detector for SDR Baseband on Parallel Programmable Architectures.
Proceedings of the Design, Automation and Test in Europe, 2008

How to let instruction set processor beat ASIC for low power wireless baseband implementation: a system level approach.
Proceedings of the 45th Design Automation Conference, 2008

2007
A Wavelet-FFT Based Efficient Sparse OFDMA Demodulator and Its Implementation on VLIW Architecture.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2007

Systematic Optimization of Programmable QRD Implementation for Multiple Application Scenarios.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2007

Efficient QRD for SRI-RLS Based Equalization on Programmable Architecture.
Proceedings of the IEEE International Conference on Acoustics, 2007

The Quality-Energy Scalable OFDMA Modulation for Low Power Transmitter and VLIW Processor Based Implementation.
Proceedings of the Global Communications Conference, 2007

Locality optimization in wireless applications.
Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, 2007

2006
Exploit Multiple-Domain Sparseness for HSDPA Chip Level Equalization in SDR: Algorithm and DSP Implementation.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2006

Statistical Performance Analysis and Estimation of Coarse Grain Parallel Multimedia Processing System.
Proceedings of the 12th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2006), 2006

Quality-Energy Scalable Chip Level Equalization for HSDPA.
Proceedings of the Global Telecommunications Conference, 2006. GLOBECOM '06, San Francisco, CA, USA, 27 November, 2006


  Loading...