Dong Wu

Orcid: 0000-0002-4963-7462

According to our database1, Dong Wu authored at least 120 papers between 2003 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
A generalized essentially non-hourglass total Lagrangian SPH solid dynamics.
CoRR, 2024

What's the Story in EBS Glory: Evolutions and Lessons in Building Cloud Block Store.
Proceedings of the 22nd USENIX Conference on File and Storage Technologies, 2024

Solving Spectrum Unmixing as a Multi-Task Bayesian Inverse Problem with Latent Factors for Endmember Variability.
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024

2023
Correction to: YOLOP: You Only Look Once for Panoptic Driving Perception.
Mach. Intell. Res., December, 2023

CLEAR: a full-stack chip-in-loop emulator for analog RRAM based computing-in-memory system.
Sci. China Inf. Sci., December, 2023

User participation depth and innovation performance of Internet companies: the moderating effect of environmental turbulence.
Technol. Anal. Strateg. Manag., November, 2023

Essentially non-hourglass and non-tensile-instability SPH elastic dynamics.
CoRR, 2023

An explicit multi-time stepping algorithm for multi-time scale coupling problems in SPH.
CoRR, 2023

An SPH formulation for general plate and shell structures with finite deformation and large rotation.
CoRR, 2023

DEDGAT: Dual Embedding of Directed Graph Attention Networks for Detecting Financial Risk.
CoRR, 2023

Design of Particle Swarm Optimized Fuzzy PID Controller and Its Application in Superheat Degree Control.
Proceedings of the International Conference on Neural Computing for Advanced Applications, 2023

A Disk Failure Prediction Algorithm Based on Fusion Model.
Proceedings of the 15th International Conference on Machine Learning and Computing, 2023

Bipartite Graph Convolutional Networks with Adversarial Domain Transfer.
Proceedings of the IEEE International Conference on Acoustics, 2023

A Multi-Signal Perception Network for Textile Composition Identification.
Proceedings of the IEEE International Conference on Acoustics, 2023

Dual-graph co-representation learning for knowledge-Graph Enhanced Recommendation.
Proceedings of the IEEE International Conference on Acoustics, 2023

Hierarchical Multi-Task Learning for Fabric Component Analysis Based on NIR Spectral Signals.
Proceedings of the IEEE International Conference on Acoustics, 2023

Simulation Analysis of Extinguishing Power Frequency Arc with C4F7N/ CO2Mixture Gas.
Proceedings of the 6th International Conference on E-Business, 2023

Multi-Attention Transformer for Naturalistic Driving Action Recognition.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023

2022
Flexible Dual-Channel Digital Auscultation Patch With Active Noise Reduction for Bowel Sound Monitoring and Application.
IEEE J. Biomed. Health Informatics, 2022

A Coupled Visual and Inertial Measurement Units Method for Locating and Mapping in Coal Mine Tunnel.
Sensors, 2022

A Method for Designated Target Anti-Interference Tracking Combining YOLOv5 and SiamRPN for UAV Tracking and Landing Control.
Remote. Sens., 2022

Understanding user performance of acquiring targets with motion-in-depth in virtual reality.
Int. J. Hum. Comput. Stud., 2022

YOLOP: You Only Look Once for Panoptic Driving Perception.
Int. J. Autom. Comput., 2022

An Asymptotic-Preserving and Energy-Conserving Particle-In-Cell Method for Vlasov-Maxwell Equations.
CoRR, 2022

Developing machine learning models with multisource inputs for improved land surface soil moisture in China.
Comput. Electron. Agric., 2022

A predictive model for recurrence after upfront surgery in patients with resectable pancreatic ductal adenocarcinoma (PDAC) by using preoperative clinical data and CT characteristics.
BMC Medical Imaging, 2022

Multibioinspired Soft Grasping Actuators with Laser-Induced Multiscale Microstructures.
Adv. Intell. Syst., 2022

Crop yield prediction using MODIS LAI, TIGGE weather forecasts and WOFOST model: A case study for winter wheat in Hebei, China during 2009-2013.
Int. J. Appl. Earth Obs. Geoinformation, 2022

Image-Signal Correlation Network for Textile Fiber Identification.
Proceedings of the MM '22: The 30th ACM International Conference on Multimedia, Lisboa, Portugal, October 10, 2022

Part-level Action Parsing via a Pose-guided Coarse-to-Fine Framework.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A Progressive and Multi-Prior-Guided Network for Image Inpainting.
Proceedings of the IEEE International Conference on Multimedia and Expo, 2022

A Survey of Integrating Federated Learning with Smart Grids: Application Prospect, Privacy Preserving and Challenges Analysis.
Proceedings of the Big Data and Security - 4th International Conference, 2022

2021
Enhancing Formaldehyde Selectivity of SnO2 Gas Sensors with the ZSM-5 Modified Layers.
Sensors, 2021

A Highly Reliable RRAM Physically Unclonable Function Utilizing Post-Process Randomness Source.
IEEE J. Solid State Circuits, 2021

Mining discriminative patches for script identification in natural scene images.
J. Intell. Fuzzy Syst., 2021

SPHinXsys: An open-source multi-physics and multi-resolution library based on smoothed particle hydrodynamics.
Comput. Phys. Commun., 2021

YOLOP: You Only Look Once for Panoptic Driving Perception.
CoRR, 2021

Smart Contact Lenses for Biosensing Applications.
Adv. Intell. Syst., 2021

Locally Aligned Image Stitching Based on Multi-Feature and Super-Pixel Segmentation With Plane Protection.
IEEE Access, 2021

Vibration Characteristics of Piezoelectric Timoshenko Nanobeam in Viscoelastic Medium<sup>*</sup>.
Proceedings of the 16th IEEE International Conference on Nano/Micro Engineered and Molecular Systems, 2021

Construction of Multimedia Network English Teaching System Based on Cloud Computing.
Proceedings of the ICISCAE 2021: 4th International Conference on Information Systems and Computer Aided Education, Dalian, China, September 24, 2021

Research of model of airflow-coupled arcs based on MATLAB.
Proceedings of the ICIIP 2021: 2021 6th International Conference on Intelligent Information Processing, 2021

Boosting Video Representation Learning With Multi-Faceted Integration.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2021

Research of Multi-dimensional Improved Canny Algorithm in 5G Smart Grid Image Intelligent Recognition and Monitoring Application.
Proceedings of the 6th IEEE International Conference on Computer and Communication Systems, 2021

2020
SPHinXsys: An open-source meshless, multi-resolution and multi-physics library.
Softw. Impacts, 2020

Can natural language processing help differentiate inflammatory intestinal diseases in China? Models applying random forest and convolutional neural network approaches.
BMC Medical Informatics Decis. Mak., 2020

Interfirm networks and search-transfer problem: the role of geographic proximity.
Ind. Manag. Data Syst., 2020

Analyzing the Influence of Urban Street Greening and Street Buildings on Summertime Air Pollution Based on Street View Image Data.
ISPRS Int. J. Geo Inf., 2020

An integrative smoothed particle hydrodynamics framework for modeling cardiac function.
CoRR, 2020

Security information transmission algorithms for IoT based on cloud computing.
Comput. Commun., 2020

Anchor-free multi-orientation text detection in natural scene images.
Appl. Intell., 2020

GREYONE: Data Flow Sensitive Fuzzing.
Proceedings of the 29th USENIX Security Symposium, 2020

33.2 A Fully Integrated Analog ReRAM Based 78.4TOPS/W Compute-In-Memory Chip with Fully Parallel MAC Computing.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

Design of the control system of the subway ticket vending machine based on PLC.
Proceedings of the ISBDAI 2020, 2020

Design of the building automatic fire alarm and fire -fighting system based on PLC.
Proceedings of the ISBDAI 2020, 2020

An Innovative Spacecube Application for Atmospheric Science.
Proceedings of the IEEE International Geoscience and Remote Sensing Symposium, 2020

R2SN: Refined Semantic Segmentation Network of City Remote Sensing Image.
Proceedings of the Pattern Recognition. ICPR International Workshops and Challenges, 2020

2019
Influence of multi-modality on moving target selection in virtual reality.
Virtual Real. Intell. Hardw., 2019

L1-Norm Batch Normalization for Efficient Training of Deep Neural Networks.
IEEE Trans. Neural Networks Learn. Syst., 2019

On-Chip Analog Trojan Detection Framework for Microprocessor Trustworthiness.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Probability Index of Low Stratus and Fog at Dawn using Dual Geostationary Satellite Observations from COMS and FY-2D near the Korean Peninsula.
Remote. Sens., 2019

FPGA应用于高性能计算的研究现状和未来挑战 (Research Advances and Future Challenges of FPGA-based High Performance Computing).
计算机科学, 2019

A flexible skin-mounted wireless acoustic device for bowel sounds monitoring and evaluation.
Sci. China Inf. Sci., 2019

ACCF: Learning Attentional Conformity for Collaborative Filtering.
IEEE Access, 2019

Multi-point traversal path planning of manipulator based on improved RRT algorithm.
Proceedings of the RICAI 2019: International Conference on Robotics, 2019

Multi-temporal Change Detection based on Deep Semantic Segmentation Networks.
Proceedings of the 10th International Workshop on the Analysis of Multitemporal Remote Sensing Images, 2019

A Reconfigurable RRAM Physically Unclonable Function Utilizing Post-Process Randomness Source With <6×10<sup>-6</sup> Native Bit Error Rate.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

Swirp (Submm-Wave and Long Wave Infrared Polarimeter); A New Tool for Investigations of Ice Distribution and Size in Cyrrus Clouds.
Proceedings of the 2019 IEEE International Geoscience and Remote Sensing Symposium, 2019

A Community-Based Collaborative Filtering Method for Social Recommender Systems.
Proceedings of the 2019 IEEE International Conference on Web Services, 2019

Memory Trojan Attack on Neural Network Accelerators.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
Localization Performance of Multiple Vibrotactile Cues on Both Arms.
IEEE Trans. Haptics, 2018

A new medical diagnosis method based on Z-numbers.
Appl. Intell., 2018

R2D2: Runtime reassurance and detection of A2 Trojan.
Proceedings of the 2018 IEEE International Symposium on Hardware Oriented Security and Trust, 2018

Improved Phonotactic Language Recognition Using Collaborated Language Model.
Proceedings of the 5th IEEE International Conference on Cloud Computing and Intelligence Systems, 2018

Interaction Technology Based on 3D printing topographic sand table for Emergency Management.
Proceedings of the 2018 2nd International Conference on Big Data and Internet of Things, 2018

2017
Motion-based skin region of interest detection with a real-time connected component labeling algorithm.
Multim. Tools Appl., 2017

Parameter-less Auto-weighted multiple graph regularized Nonnegative Matrix Factorization for data representation.
Knowl. Based Syst., 2017

Multiple Laplacian graph regularised low-rank representation with application to image representation.
IET Image Process., 2017

Ultrasonic image reconstruction based on maximum likelihood expectation maximization for concrete structural information.
Comput. Electr. Eng., 2017

Long Short-Term Memory With Quadratic Connections in Recursive Neural Networks for Representing Compositional Semantics.
IEEE Access, 2017

Hierarchical Parameter Sharing in Recursive Neural Networks with Long Short-Term Memory.
Proceedings of the Neural Information Processing - 24th International Conference, 2017

Optimization of writing scheme on 1T1R RRAM to achieve both high speed and good uniformity.
Proceedings of the 47th European Solid-State Device Research Conference, 2017

2016
Image Sharpness Assessment by Sparse Representation.
IEEE Trans. Multim., 2016

No-reference Image Quality Assessment With A Gradient-induced Dictionary.
KSII Trans. Internet Inf. Syst., 2016

Fabrication of ultra-thin silicon chips using thermally decomposable temporary bonding adhesive.
Proceedings of the 2016 IEEE SENSORS, Orlando, FL, USA, October 30 - November 3, 2016, 2016

2015
A Low Power Double-Sampling Extended Counting ADC With Class-AB OTA for Sensor Arrays.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

GF(2m)上椭圆曲线标量乘的硬件结构实现 (Hardware Implementation of Scalar Multiplication on Elliptic Curves over GF(2m)).
计算机科学, 2015

A Further Finite Element Stress Analysis of Angled Abutments for an Implant Placed in the Anterior Maxilla.
Comput. Math. Methods Medicine, 2015

A 1G-cell floating-gate NOR flash memory in 65 nm technology with 100 ns random access time.
Sci. China Inf. Sci., 2015

A PAM-4 adaptive analog equalizer with decoupling control loops for 25-Gb/s CMOS serial-link receiver.
Proceedings of the 28th IEEE International System-on-Chip Conference, 2015

A 16 Mb RRAM test chip based on analog power system with tunable write pulses.
Proceedings of the 15th Non-Volatile Memory Technology Symposium, 2015

Effect of vibrotactile cues for guiding simultaneous procedural motion of two joints on upper limbs.
Proceedings of the 2015 IEEE/RSJ International Conference on Intelligent Robots and Systems, 2015

A real-time interactive data mining and visualization system using parallel computing.
Proceedings of the Tenth International Conference on Digital Information Management, 2015

Performance of simultaneous motion and respiration control under guidance of audio-haptic cues.
Proceedings of the 2015 IEEE World Haptics Conference, 2015

Integrating Opinion Leader and User Preference for Recommendation.
Proceedings of the Database Systems for Advanced Applications, 2015

Air-gap/SiO2 liner TSVs with improved electrical performance.
Proceedings of the 2015 International 3D Systems Integration Conference, 2015

2014
A Fast Application-Based Supply Voltage Optimization Method for Dual Voltage FPGA.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Image quality assessment based on multi-scale representation of structure.
Digit. Signal Process., 2014

Total ionizing radiation effects of 2-T SONOS for 130 nm/4 Mb NOR flash memory technology.
Sci. China Inf. Sci., 2014

An ultra-low-power extended counting ADC For large scale sensor arrays.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

Using C to implement high-efficient computation of dense optical flow on FPGA-accelerated heterogeneous platforms.
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014

2013
User acceptance of software as a service: Evidence from customers of China's leading e-commerce company, Alibaba.
J. Syst. Softw., 2013

Combinatorial Aspects of Mixed Arrangements.
Ars Comb., 2013

A 15-bit two-step sigma-delta ADC with embedded compression for image sensor array.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

2012
Parallelizing sparse LU decomposition on FPGAs.
Proceedings of the 2012 International Conference on Field-Programmable Technology, 2012

2011
Erratum to: A Cost-Efficient Self-Configurable BIST Technique for Testing Multiplexer-Based FPGA Interconnect.
J. Electron. Test., 2011

A cost-efficient self-configurable BIST technique for testing multiplexer-based FPGA interconnect.
J. Electron. Test., 2011

A chip-level path-delay-distribution based Dual-VDD method for low power FPGA (abstract only).
Proceedings of the ACM/SIGDA 19th International Symposium on Field Programmable Gate Arrays, 2011

2010
Evolution of supercomputers.
Frontiers Comput. Sci. China, 2010

A novel high-speed and low-power negative voltage level shifter for low voltage applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

A 13-bit, low-power, compact ADC suitable for sensor applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

2009
A High-Speed Two-Cell BCH Decoder for Error Correcting in MLC <i>nor</i> Flash Memories.
IEEE Trans. Circuits Syst. II Express Briefs, 2009

Embedded high-speed BCH decoder for new-generation NOR flash memories.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2009

2008
Deriving Marine-Boundary-Layer Lapse Rate from Collocated CALIPSO, MODIS, and AMSR-E Data to Study Global Low-Cloud Height Statistics.
IEEE Geosci. Remote. Sens. Lett., 2008

Pure logic CMOS based embedded Non-Volatile Random Access Memory for low power RFID application.
Proceedings of the IEEE 2008 Custom Integrated Circuits Conference, 2008

2006
180nm 4Mb High Speed High Reliability Embedded SONOS Flash Memory.
Proceedings of the IEEE 2006 Custom Integrated Circuits Conference, 2006

Improving routing efficiency for network-on-chip through contention-aware input selection.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
Power-Composition Profile Driven Co-Synthesis with Power Management Selection for Dynamic and Leakage Energy Reduction.
Proceedings of the Eighth Euromicro Symposium on Digital Systems Design (DSD 2005), 30 August, 2005

2004
Investigation into energy-efficient co-synthesis of distributed embedded systems.
PhD thesis, 2004

2003
Scheduling and Mapping of Conditional Task Graphs for the Synthesis of Low Power Embedded Systems.
Proceedings of the 2003 Design, 2003


  Loading...