Christos-Savvas Bouganis

Orcid: 0000-0002-4906-4510

Affiliations:
  • Imperial College London, UK


According to our database1, Christos-Savvas Bouganis authored at least 165 papers between 2003 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Augmenting the Softmax with Additional Confidence Scores for Improved Selective Classification with Out-of-Distribution Data.
Int. J. Comput. Vis., September, 2024

HASS: Hardware-Aware Sparsity Search for Dataflow DNN Accelerator.
CoRR, 2024

Δ-DiT: A Training-Free Acceleration Method Tailored for Diffusion Transformers.
CoRR, 2024

SMOF: Streaming Modern CNNs on FPGAs with Smart Off-Chip Eviction.
CoRR, 2024

Understanding Why Label Smoothing Degrades Selective Classification and How to Fix It.
CoRR, 2024

Latency Driven Spatially Sparse Optimization for Multi-Branch CNNs for Semantic Segmentation.
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision Workshops, 2024

Auto WS: Automate Weights Streaming in Layer-Wise Pipelined DNN Accelerators.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024

A Framework for Designing Scalable Gaussian Belief Propagation Accelerators for use in SLAM.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024

2023
Multiple-Deep Neural Network Accelerators for Next-Generation Artificial Intelligence Systems.
Computer, March, 2023

AutoWS: Automate Weights Streaming in Layer-wise Pipelined DNN Accelerators.
CoRR, 2023

Fast Prototyping Next-Generation Accelerators for New ML Models using MASE: ML Accelerator System Exploration.
CoRR, 2023

SVD-NAS: Coupling Low-Rank Approximation and Neural Architecture Search.
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision, 2023

Window-Based Early-Exit Cascades for Uncertainty Estimation: When Deep Ensembles are More Efficient than Single Models.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023

SATAY: A Streaming Architecture Toolflow for Accelerating YOLO Models on FPGA Devices.
Proceedings of the International Conference on Field Programmable Technology, 2023

Mixed-TD: Efficient Neural Network Accelerator with Layer-Specific Tensor Decomposition.
Proceedings of the 33rd International Conference on Field-Programmable Logic and Applications, 2023

fpgaHART: A Toolflow for Throughput-Oriented Acceleration of 3D CNNs for HAR onto FPGAs.
Proceedings of the 33rd International Conference on Field-Programmable Logic and Applications, 2023

PASS: Exploiting Post-Activation Sparsity in Streaming Architectures for CNN Acceleration.
Proceedings of the 33rd International Conference on Field-Programmable Logic and Applications, 2023

HARFLOW3D: A Latency-Oriented 3D-CNN Accelerator Toolflow for HAR on FPGA Devices.
Proceedings of the 31st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2023

ATHEENA: A Toolflow for Hardware Early-Exit Network Automation.
Proceedings of the 31st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2023

FMM-X3D: FPGA-Based Modeling and Mapping of X3D for Human Action Recognition.
Proceedings of the 34th IEEE International Conference on Application-specific Systems, 2023

2022
On the Usefulness of Deep Ensemble Diversity for Out-of-Distribution Detection.
CoRR, 2022

Multi-DNN Accelerators for Next-Generation AI Systems.
CoRR, 2022

Low-Cost On-device Partial Domain Adaptation (LoCO-PDA): Enabling efficient CNN retraining on edge devices.
CoRR, 2022

Improved Spike-Based Brain-Machine Interface Using Bayesian Adaptive Kernel Smoother and Deep Learning.
IEEE Access, 2022

Pushing the Efficiency of StereoNet: Exploiting Spatial Sparsity.
Proceedings of the 17th International Joint Conference on Computer Vision, 2022

MIDAS: Mutual Information Driven Approximate Synthesis.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022

SAMO: Optimised Mapping of Convolutional Neural Networks to Streaming Architectures.
Proceedings of the 32nd International Conference on Field-Programmable Logic and Applications, 2022

Augmenting Softmax Information for Selective Classification with Out-of-Distribution Data.
Proceedings of the Computer Vision - ACCV 2022, 2022

2021
Non-iterative SDC modulo scheduling for high-level synthesis.
Microprocess. Microsystems, October, 2021

Performance landscape of resource-constrained platforms targeting DNNs.
CoRR, 2021

Class-specific early exit design methodology for convolutional neural networks.
Appl. Soft Comput., 2021

perf4sight: A toolflow to model CNN training performance on Edge GPUs.
Proceedings of the IEEE/CVF International Conference on Computer Vision Workshops, 2021

StreamSVD: Low-rank Approximation and Streaming Accelerator Co-design.
Proceedings of the International Conference on Field-Programmable Technology, 2021

POMMEL: Exploring Off-Chip Memory Energy & Power Consumption in Convolutional Neural Network Accelerators.
Proceedings of the 24th Euromicro Conference on Digital System Design, 2021

DEF: Differential Encoding of Featuremaps for Low Power Convolutional Neural Network Accelerators.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Learning Boolean Circuits from Examples for Approximate Logic Synthesis.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
Real-Time Servo Press Force Estimation Based on Dual Particle Filter.
IEEE Trans. Ind. Electron., 2020

Approximate LSTMs for Time-Constrained Inference: Enabling Fast Reaction in Self-Driving Cars.
IEEE Consumer Electron. Mag., 2020

Multi-Precision Policy Enforced Training (MuPPET) : A Precision-Switching Strategy for Quantised Fixed-Point Training of CNNs.
Proceedings of the 37th International Conference on Machine Learning, 2020

Mapping Multiple LSTM models on FPGAs.
Proceedings of the International Conference on Field-Programmable Technology, 2020

Caffe Barista: Brewing Caffe with FPGAs in the Training Loop.
Proceedings of the 30th International Conference on Field-Programmable Logic and Applications, 2020

A Throughput-Latency Co-Optimised Cascade of Convolutional Neural Network Classifiers.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Now that I can see, I can improve: Enabling data-driven finetuning of CNNs on the edge.
Proceedings of the 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2020

A Parameterisable FPGA-Tailored Architecture for YOLOv3-Tiny.
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2020

2019
fpgaConvNet: Mapping Regular and Irregular Convolutional Neural Networks on FPGAs.
IEEE Trans. Neural Networks Learn. Syst., 2019

Scaling Up Modulo Scheduling for High-Level Synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Context-based image acquisition from memory in digital systems.
J. Real Time Image Process., 2019

Understanding of Human Behavior with a Robotic Agent Through Daily Activity Analysis.
Int. J. Soc. Robotics, 2019

Multi-person 3D pose estimation from 3D cloud data using 3D convolutional neural networks.
Comput. Vis. Image Underst., 2019

Towards a Distributed, Chronically-Implantable Neural Interface.
Proceedings of the 2019 9th International IEEE/EMBS Conference on Neural Engineering (NER), 2019

Decoding Hand Kinematics from Local Field Potentials Using Long Short-Term Memory (LSTM) Network.
Proceedings of the 2019 9th International IEEE/EMBS Conference on Neural Engineering (NER), 2019

Towards Efficient On-Board Deployment of DNNs on Intelligent Autonomous Systems.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

Informed Region Selection for Efficient UAV-based Object Detectors: Altitude-aware Vehicle Detection with CyCAR Dataset.
Proceedings of the 2019 IEEE/RSJ International Conference on Intelligent Robots and Systems, 2019

Power-Aware FPGA Mapping of Convolutional Neural Networks.
Proceedings of the International Conference on Field-Programmable Technology, 2019

Accelerated Approximate Nearest Neighbors Search Through Hierarchical Product Quantization.
Proceedings of the International Conference on Field-Programmable Technology, 2019

Optimising 3D-CNN Design towards Human Pose Estimation on Low Power Devices.
Proceedings of the 30th British Machine Vision Conference 2019, 2019

End-to-End Hand Kinematic Decoding from LFPs Using Temporal Convolutional Network.
Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference, 2019

A Scalable FPGA-Based Architecture for Depth Estimation in SLAM.
Proceedings of the Applied Reconfigurable Computing - 15th International Symposium, 2019

2018
Boosting the Hardware-Efficiency of Cascade Support Vector Machines for Embedded Classification Applications.
Int. J. Parallel Program., 2018

Toolflows for Mapping Convolutional Neural Networks on FPGAs: A Survey and Future Directions.
ACM Comput. Surv., 2018

CascadeCNN: Pushing the Performance Limits of Quantisation in Convolutional Neural Networks.
CoRR, 2018

Deploying Deep Neural Networks in the Embedded Space.
CoRR, 2018

f-CNN<sup>x</sup>: A Toolflow for Mapping Multiple Convolutional Neural Networks on FPGAs.
CoRR, 2018

CascadeCNN: Pushing the performance limits of quantisation.
CoRR, 2018

Learning to Fly by MySelf: A Self-Supervised CNN-Based Approach for Autonomous Navigation.
Proceedings of the 2018 IEEE/RSJ International Conference on Intelligent Robots and Systems, 2018

Scaling Up Loop Pipelining for High-Level Synthesis: A Non-iterative Approach.
Proceedings of the International Conference on Field-Programmable Technology, 2018

f-CNNx: A Toolflow for Mapping Multiple Convolutional Neural Networks on FPGAs.
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018

Cascade^CNN: Pushing the Performance Limits of Quantisation in Convolutional Neural Networks.
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018

Spike Rate Estimation Using Bayesian Adaptive Kernel Smoother (BAKS) and Its Application to Brain Machine Interfaces.
Proceedings of the 40th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2018

DroNet: Efficient convolutional neural network detector for real-time UAV applications.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

An overview of next-generation architectures for machine learning: Roadmap, opportunities and challenges in the IoT era.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Approximate FPGA-Based LSTMs Under Computation Time Constraints.
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2018

2017
An Unbiased MCMC FPGA-Based Accelerator in the Land of Custom Precision Arithmetic.
IEEE Trans. Computers, 2017

Special issue on applied reconfigurable computing.
Microprocess. Microsystems, 2017

Particle MCMC algorithms and architectures for accelerating inference in state-space models.
Int. J. Approx. Reason., 2017

fpgaConvNet: A Toolflow for Mapping Diverse Convolutional Neural Networks on Embedded FPGAs.
CoRR, 2017

Robust Human Pose Tracking For Realistic Service Robot Applications.
Proceedings of the 2017 IEEE International Conference on Computer Vision Workshops, 2017

Latency-driven design for FPGA-based convolutional neural networks.
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017

A high-performance system-on-chip architecture for direct tracking for SLAM.
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017

fpgaConvNet: Automated Mapping of Convolutional Neural Networks on FPGAs (Abstract Only).
Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2017

Communication-Aware MCMC Method for Big Data Applications on FPGAs.
Proceedings of the 25th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2017

2016
Embedded Hardware-Efficient Real-Time Classification With Cascade Support Vector Machines.
IEEE Trans. Neural Networks Learn. Syst., 2016

Population-Based MCMC on Multi-Core CPUs, GPUs and FPGAs.
IEEE Trans. Computers, 2016

A hybrid ASIC/FPGA fault-tolerant artificial pancreas.
Proceedings of the International Conference on Embedded Computer Systems: Architectures, 2016

Area-driven partial reconfiguration for SEU mitigation on SRAM-based FPGAs.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2016

FPGASVM: A Framework for Accelerating Kernelized Support Vector Machine.
Proceedings of the 5th International Workshop on Big Data, 2016

Variation-Aware Optimisation for Reconfigurable Cyber-Physical Systems.
Proceedings of the Technological Innovation for Cyber-Physical Systems, 2016

Semi-dense SLAM on an FPGA SoC.
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016

fpgaConvNet: A Framework for Mapping Convolutional Neural Networks on FPGAs.
Proceedings of the 24th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2016

2015
ARC 2014: A Multidimensional FPGA-Based Parallel DBSCAN Architecture.
ACM Trans. Reconfigurable Technol. Syst., 2015

ARC 2014 Over-Clocking KLT Designs on FPGAs under Process, Voltage, and Temperature Variation.
ACM Trans. Reconfigurable Technol. Syst., 2015

An exact MCMC accelerator under custom precision regimes.
Proceedings of the 2015 International Conference on Field Programmable Technology, 2015

Towards heterogeneous solvers for large-scale linear systems.
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015

FPGA based nonlinear Support Vector Machine training using an ensemble learning.
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015

Robust multi-image based blind face hallucination.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2015

2014
Vision-Based Egomotion Estimation on FPGA for Unmanned Aerial Vehicle Navigation.
IEEE Trans. Circuits Syst. Video Technol., 2014

Kernel-based Adaptive Image Sampling.
Proceedings of the VISAPP 2014, 2014

Zero-latency datapath error correction framework for over-clocking DSP applications on FPGAs.
Proceedings of the 2014 International Conference on ReConFigurable Computing and FPGAs, 2014

Memory optimisation for hardware induction of axis-parallel decision tree.
Proceedings of the 2014 International Conference on ReConFigurable Computing and FPGAs, 2014

Over-clocking of Linear Projection Designs through Device Specific Optimisations.
Proceedings of the 2014 IEEE International Parallel & Distributed Processing Symposium Workshops, 2014

Parallel resampling for particle filters on FPGAs.
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014

Pushing the performance boundary of linear projection designs through device specific optimisations (abstract only).
Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2014

Image progressive acquisition for hardware systems.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014


FPGA-Based Parallel DBSCAN Architecture.
Proceedings of the Reconfigurable Computing: Architectures, Tools, and Applications, 2014

A Unified Framework for Over-Clocking Linear Projections on FPGAs under PVT Variation.
Proceedings of the Reconfigurable Computing: Architectures, Tools, and Applications, 2014

2013
DeSyRe: On-demand system reliability.
Microprocess. Microsystems, 2013

Guest editorial: Workshop on Reconfigurable Computing.
J. Syst. Archit., 2013

High-level power and performance estimation of FPGA-based soft processors and its application to design space exploration.
J. Syst. Archit., 2013

An embedded hardware-efficient architecture for real-time cascade Support Vector Machine classification.
Proceedings of the 2013 International Conference on Embedded Computer Systems: Architectures, 2013

Face hallucination revisited: A joint framework.
Proceedings of the IEEE International Conference on Image Processing, 2013

A hardware-efficient architecture for embedded real-time cascaded support vector machines classification.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013

Domain-specific progressive sampling of face images.
Proceedings of the IEEE Global Conference on Signal and Information Processing, 2013

Accelerating Random Forest training process using FPGA.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013

FPGA-based acceleration of cascaded support vector machines for embedded applications (abstract only).
Proceedings of the 2013 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2013

On Optimizing the Arithmetic Precision of MCMC Algorithms.
Proceedings of the 21st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2013

2012
Novel Cascade FPGA Accelerator for Support Vector Machines Classification.
IEEE Trans. Neural Networks Learn. Syst., 2012

Early performance estimation of image compression methods on soft processors.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

High-level linear projection circuit design optimization framework for FPGAs under over-clocking.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

A Custom Precision Based Architecture for Accelerating Parallel Tempering MCMC on FPGAs without Introducing Sampling Error.
Proceedings of the 2012 IEEE 20th Annual International Symposium on Field-Programmable Custom Computing Machines, 2012

The DeSyRe Project: On-Demand System Reliability.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012

Parallel Tempering MCMC Acceleration Using Reconfigurable Hardware.
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2012

2011
Blur identification with assumption validation for sensor-based video reconstruction and its implementation on field programmable gate array.
IET Comput. Digit. Tech., 2011

Feature selection with geometric constraints for vision-based Unmanned Aerial Vehicle navigation.
Proceedings of the 18th IEEE International Conference on Image Processing, 2011

An FPGA-based object detector with dynamic workload balancing.
Proceedings of the 2011 International Conference on Field-Programmable Technology, 2011

A Run-Time Adaptive FPGA Architecture for Monte Carlo Simulations.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2011

2010
Exploration of Heterogeneous FPGAs for Mapping Linear Projection Designs.
IEEE Trans. Very Large Scale Integr. Syst., 2010

An Optimized Hardware Architecture of a Multivariate Gaussian Random Number Generator.
ACM Trans. Reconfigurable Technol. Syst., 2010

A Salient Region Detector for GPU Using a Cellular Automata Architecture.
Proceedings of the Neural Information Processing. Models and Applications, 2010

A novel FPGA-based SVM classifier.
Proceedings of the International Conference on Field-Programmable Technology, 2010

Mapping Multiple Multivariate Gaussian Random Number Generators on an FPGA.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010

GPU Versus FPGA for High Productivity Computing.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010

A Heterogeneous FPGA Architecture for Support Vector Machine Training.
Proceedings of the 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2010

Design of a Financial Application Driven Multivariate Gaussian Random Number Generator for an FPGA.
Proceedings of the Reconfigurable Computing: Architectures, 2010

2009
Introduction to the Special Issue ARC'08.
ACM Trans. Reconfigurable Technol. Syst., 2009

Synthesis and Optimization of 2D Filter Designs for Heterogeneous FPGAs.
ACM Trans. Reconfigurable Technol. Syst., 2009

Robust Real-Time Super-Resolution on FPGA and an Application to Video Enhancement.
ACM Trans. Reconfigurable Technol. Syst., 2009

Hardware architectures for eigenvalue computation of real symmetric matrices.
IET Comput. Digit. Tech., 2009

A sensor-based approach to linear blur identification for real-time video enhancement.
Proceedings of the International Conference on Image Processing, 2009

Tracking performance of a foveated vision system.
Proceedings of the 4th International Conference on Autonomous Robots and Agents, 2009

Implementation of a foveal vision mapping.
Proceedings of the 2009 International Conference on Field-Programmable Technology, 2009

Word-Length Optimization and Error Analysis of a Multivariate Gaussian Random Number Generator.
Proceedings of the Reconfigurable Computing: Architectures, 2009

2008
Video enhancement on an adaptive image sensor.
Proceedings of the International Conference on Image Processing, 2008

A scalable FPGA architecture for non-linear SVM training.
Proceedings of the 2008 International Conference on Field-Programmable Technology, 2008

Efficient FPGA mapping of Gilbert's algorithm for SVM training on large-scale classification problems.
Proceedings of the FPL 2008, 2008

Real-time image super resolution using an FPGA.
Proceedings of the FPL 2008, 2008

Multivariate Gaussian Random Number Generator Targeting Specific Resource Utilization in an FPGA.
Proceedings of the Reconfigurable Computing: Architectures, 2008

FPGA-based Real-time Super-Resolution on an Adaptive Image Sensor.
Proceedings of the Reconfigurable Computing: Architectures, 2008

2007
Real-time hardware acceleration of the trace transform.
J. Real Time Image Process., 2007

Efficient mapping of a Kalman filter into an FPGA using Taylor Expansion.
Proceedings of the FPL 2007, 2007

Efficient Mapping of Dimensionality Reduction Designs onto Heterogeneous FPGAs.
Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, 2007

2006
A Spatiotemporal Saliency Framework.
Proceedings of the International Conference on Image Processing, 2006

A statistical framework for dimensionality reduction implementation in FPGAs.
Proceedings of the 2006 IEEE International Conference on Field Programmable Technology, 2006

An FPGA implementation of the simplex algorithm.
Proceedings of the 2006 IEEE International Conference on Field Programmable Technology, 2006

Efficient Realtime FPGA Implementation of the Trace Transform.
Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), 2006

FPGA-Accelerated Pre-Attentive Segmentation in Primary Visual Cortex.
Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), 2006

Hardware efficient architectures for Eigenvalue computation.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

2005
A novel 2D filter design methodology.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

FPGA-Accelerated Reconstruction of Gene Regulatory Networks.
Proceedings of the 2005 International Conference on Field Programmable Logic and Applications (FPL), 2005

Heterogeneity Exploration for Multiple 2D Filter Designs.
Proceedings of the 2005 International Conference on Field Programmable Logic and Applications (FPL), 2005

A Novel 2D Filter Design Methodology for Heterogeneous Devices.
Proceedings of the 13th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2005), 2005

2004
Multiple light source detection with application to face recognition.
PhD thesis, 2004

Multiple Light Source Detection.
IEEE Trans. Pattern Anal. Mach. Intell., 2004

A Steerable Complex Wavelet Construction and Its Implementation on FPGA.
Proceedings of the Field Programmable Logic and Application, 2004

2003
Class-based Multiple Light Detection: An Application to Faces.
Proceedings of the British Machine Vision Conference, 2003


  Loading...