Hanjun Jiang

Orcid: 0000-0003-4911-0748

According to our database1, Hanjun Jiang authored at least 125 papers between 2004 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Cryogenic CMOS RF Circuits: A Promising Approach for Large-Scale Quantum Computing.
IEEE Trans. Circuits Syst. II Express Briefs, March, 2024

9.3 A 71dB SNDR 200MHz BW Interleaved Pipe-SAR ADC with a Shared Residue Integrating Amplifier Achieving 173dB FoMs.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

8.10 A 5V-to-150V Input-Parallel Output-Series Hybrid DC-DC Boost Converter Achieving 76.4mW/mg Power Density and 80% Peak Efficiency.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

29.4 A Cryo-CMOS Quantum Computing Unit Interface Chipset in 28nm Bulk CMOS with Phase-Detection Based Readout and Phase-Shifter Based Pulse Generation.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2023
A Polar-Modulation-Based Cryogenic Transmon Qubit State Controller in 28 nm Bulk CMOS for Superconducting Quantum Computing.
IEEE J. Solid State Circuits, November, 2023

A 107 pJ/b TX 260 pJ/b RX Ultralow-Power MEMS-Based Transceiver With Wake-Up in ISM-Bands for IoT Applications.
IEEE J. Solid State Circuits, May, 2023

A 128 Gbps PAM-4 feed forward equaliser with optimized 1UI pulse generator in 65 nm CMOS.
IET Circuits Devices Syst., May, 2023

Control Strategy of Shuttle Shifting Process of Agricultural Tractor During Headland Turn.
IEEE Access, 2023

A Resistive Sensor Interface IC with Inductively Coupled Wireless Energy Harvesting and Data Telemetry for Implantable Pressure Sensing.
Proceedings of the 66th IEEE International Midwest Symposium on Circuits and Systems, 2023

A 1-1.7 GHz Cryogenic Fractional-N CP-PLL for Quantum Computing Applications.
Proceedings of the 66th IEEE International Midwest Symposium on Circuits and Systems, 2023

A Polar-Modulation-Based Cryogenic Qubit State Controller in 28nm Bulk CMOS.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

Resource-efficient Face Detector Using 1.5-bit Frame-to-frame Delta Quantization for Image Based Always-on Wake-up Application.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Current-Steering DAC Calibration Using Q-Learning.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

A 400uW 3.6GHz-4.6GHz Low Power Cryogenic CP-PLL with Transformer-Based VCO in 28nm Bulk CMOS.
Proceedings of the IEEE International Conference on Integrated Circuits, 2023

A 7.4μJ.ppm2 Resistance Sensor with ±120ppm (3σ) 1-Point-Trimmed Inaccuracy and <4ppm/°C Temperature Drift from -55°C to 125°C.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023

2022
A Binarized CNN-Based Bowel Sound Recognition Algorithm With Time-Domain Histogram Features for Wearable Healthcare Systems.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

A 0.66mW 400 MHz/900 MHz Transmitter IC for In-Body Bio-Sensing Applications.
IEEE Trans. Biomed. Circuits Syst., 2022

A 746 nW ECG Processor ASIC Based on Ternary Neural Network.
IEEE Trans. Biomed. Circuits Syst., 2022

A 164- $\mu$ W 915-MHz Sub-Sampling Phase-Tracking Zero-IF Receiver With 5-Mb/s Data Rate for Short-Range Applications.
IEEE J. Solid State Circuits, 2022

A 1.92 μA Always-on ECG Monitoring Mixed-Signal SoC for Implantable Medical Application.
Proceedings of the 19th International SoC Design Conference, 2022

Wearable Bowel Sound Monitoring with Quality Enhancement using U-net.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

An Efficient FPGA Design for Fixed-point Exponential Calculation.
Proceedings of the 2022 IEEE International Conference on Integrated Circuits, 2022

Investigating the Effects of Anatomical Structures on the Induced Electric Field in the Brain in Transcranial Magnetic Stimulation.
Proceedings of the 44th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2022

Resource Efficient Gas Classifier Based on 1.5-bit Quantization of Sensing Channel Difference for Electronic Nose.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2022

2021
Ultrahigh Step-Up Coupled-Inductor DC-DC Converter With Soft-Switching for Driving Piezoelectric Actuators.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

A 2.63 μW ECG Processor With Adaptive Arrhythmia Detection and Data Compression for Implantable Cardiac Monitoring Device.
IEEE Trans. Biomed. Circuits Syst., 2021

A 4-μW Analog Front End Achieving 2.4 NEF for Long-Term ECG Monitoring.
IEEE Trans. Biomed. Circuits Syst., 2021

Using breath sound data to detect intraoperative respiratory depression in non-intubated anesthesia.
Sci. China Inf. Sci., 2021

An Energy-Efficient Binarized Neural Network Using Analog-Intensive Feature Extraction for Keyword and Speaker Verification Wakeup.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A 110pJ/Bit Star- 16QAM 915MHz Band Ultra-Low Power Receiver Based on Polar Architecture.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A 2.52 μΑ Wearable Single Lead Ternary Neural Network Based Cardiac Arrhythmia Detection Processor.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

2020
A 14-bit 200-Ms/s SHA-Less Pipelined ADC With Aperture Error Reduction.
IEEE Trans. Very Large Scale Integr. Syst., 2020

Long-Term Bowel Sound Monitoring and Segmentation by Wearable Devices and Convolutional Neural Networks.
IEEE Trans. Biomed. Circuits Syst., 2020

A Supervised Speech Enhancement Method for Smartphone-Based Binaural Hearing Aids.
IEEE Trans. Biomed. Circuits Syst., 2020

Power Efficient Tiny Yolo CNN Using Reduced Hardware Resources Based on Booth Multiplier and WALLACE Tree Adders.
IEEE Open J. Circuits Syst., 2020

A 530 nA quiescent current low-dropout regulator with embedded reference for wake-up receivers.
Sci. China Inf. Sci., 2020

A CRNN System for Sound Event Detection Based on Gastrointestinal Sound Dataset Collected by Wearable Auscultation Devices.
IEEE Access, 2020

A CNN Based Human Bowel Sound Segment Recognition Algorithm with Reduced Computation Complexity for Wearable Healthcare System.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

A 2.8 μW 0.022 mm2 8 MHz Monolithic Relaxation Oscillator.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Coverage Optimization of the Tunable Ladder Matching Networks.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

A 34 nA Quiescent Current Switched-Capacitor Step-Down Converter with 1.2V Output Voltage and 0-5μA Load Current.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

A Customized Low Static Leakage Near/Sub-threshold Standard Cell Library Using Thick-gate Transistors.
Proceedings of the 2020 IEEE International Conference on Integrated Circuits, 2020

A 0.7-V Clock-gating Cell with Power Gating Technology and 1.56-pA Sleep Power.
Proceedings of the 2020 IEEE International Conference on Integrated Circuits, 2020

A 41μA Direct Frequency Modulation IC for Indoor Voice Broadcast in LF Band with Background Frequency Calibration.
Proceedings of the 2020 IEEE International Conference on Integrated Circuits, 2020

Design of Efficient CNN Accelerator Based on Zynq Platform.
Proceedings of the 15th International Conference on Computer Science & Education, 2020

A 17.7-pJ/Cycle ECG Processor for Arrhythmia Detection with High Immunity to Power Line Interference and Baseline Drift.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2020

2019
Introduction to the Special Issue on Wearable and Flexible Integrated Sensors for Screening, Diagnostics, and Treatment.
IEEE Trans. Biomed. Circuits Syst., 2019

A 0.0014 mm<sup>2</sup> 150 nW CMOS Temperature Sensor with Nonlinearity Characterization and Calibration for the -60 to +40 °C Measurement Range.
Sensors, 2019

A Wi-Fi-Based Wireless Indoor Position Sensing System with Multipath Interference Mitigation.
Sensors, 2019

A Wireless Visualized Sensing System with Prosthesis Pose Reconstruction for Total Knee Arthroplasty.
Sensors, 2019

An RNN-based Speech Enhancement Method for a Binaural Hearing Aid System.
Proceedings of the 17th IEEE International New Circuits and Systems Conference, 2019

A 4-40 Gb/s PAM-4 transmitter with a hybrid driver in 65 nm CMOS technology.
Proceedings of the 62nd IEEE International Midwest Symposium on Circuits and Systems, 2019

DCO gain calibration technique in fractional-N Δ-Σ PLL based two-point phase modulators.
Proceedings of the 62nd IEEE International Midwest Symposium on Circuits and Systems, 2019

Passive Implantable Wireless Intracranial Pressure Monitoring Based on Near Field Communication.
Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference, 2019

A 360-456 MHz PLL frequency synthesizer with digitally controlled charge pump leakage calibration.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2019

A High DR High-Input-Impedance Programmable-Gain ECG Acquisition Interface with Non-inverting Continuous Time Sigma-Delta Modulator.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2019

2018
400-MHz/2.4-GHz Combo WPAN Transceiver IC for Simultaneous Dual-Band Communication With One Single Antenna.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

A 120 pJ/bit ΔΣ-Based 2.4-GHz Transmitter Using FIR-Embedded Digital Power Amplifier.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

Implantable Wireless Intracranial Pressure Monitoring Based on Air Pressure Sensing.
IEEE Trans. Biomed. Circuits Syst., 2018

A 3-D Surface Reconstruction with Shadow Processing for Optical Tactile Sensors.
Sensors, 2018

A power scalable 2-10 Gb/s PI-based clock data recovery for multilane applications.
Microelectron. J., 2018

Bowel sound recognition using SVM classification in a wearable health monitoring system.
Sci. China Inf. Sci., 2018

A K-Band Fractional-N Frequency Synthesizer With a Low Phase Noise LC VCO in 90nm CMOS.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Activity Recognition in Wearable ECG Monitoring Aided by Accelerometer Data.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A MVDR- MWF Combined Algorithm for Binaural Hearing Aid System.
Proceedings of the 2018 IEEE Biomedical Circuits and Systems Conference, 2018

Bowel Sound Detection Based on MFCC Feature and LSTM Neural Network.
Proceedings of the 2018 IEEE Biomedical Circuits and Systems Conference, 2018

2017
A 410-nW Efficient QRS Processor for Mobile ECG Monitoring in 0.18-μm CMOS.
IEEE Trans. Biomed. Circuits Syst., 2017

A 40-Gb/s Quarter-Rate SerDes Transmitter and Receiver Chipset in 65-nm CMOS.
IEEE J. Solid State Circuits, 2017

A Wireless Body Sound Sensor with a Dedicated Compact Chipset.
Circuits Syst. Signal Process., 2017

Tactile array sensor for manipulator based on the barometric chips.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

An enhanced TDoA approach handling multipath interference in Wi-Fi based indoor localization systems.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

A high-performance FPGA-based LDPC decoder for solid-state drives.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

An 8.5-12.5GHz wideband LC PLL with dual VCO cores for multi-protocol SerDes.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

A 25Gb/s serial-link repeater with receiver equalization and transmitter de-emphasis in 0.13μm SiGe BiCMOS.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

A 0.6V 50-to-145MHz PVT tolerant digital PLL with DCO-dedicated ΔΣ LDO and temperature compensation circuits in 65nm CMOS.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

A 5-bit phase-interpolator-based fractional-N frequency divider for digital phase-locked loops.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

A 9.4 pJ/bit 432 MHz 16-QAM/MSK transmitter based on edge-combining power amplifier.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

A 7.9μA 4-bit 4Msps successive approximation phase-domain ADC for GFSK demodulator.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

A 4-40 Gb/s PAM4 transmitter with output linearity optimization in 65 nm CMOS.
Proceedings of the 2017 IEEE Custom Integrated Circuits Conference, 2017

A 10 GHz 56 fsrms-integrated-jitter and -247 dB FOM ring-VCO based injection-locked clock multiplier with a continuous frequency-tracking loop in 65 nm CMOS.
Proceedings of the 2017 IEEE Custom Integrated Circuits Conference, 2017

Wireless intracranial pressure monitoring system based on an air pressure sensor.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

Live demonstration: Wireless intracranial pressure monitoring system based on an air pressure sensor.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

System architecture of a smart binaural hearing aid using a mobile computing platform.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

2016
A wireless image acquisition system for artificial knee implant surgeries.
Proceedings of the IEEE 59th International Midwest Symposium on Circuits and Systems, 2016

A 400MHz 3-10Mbps transceiver IC with ∼0.3 nJ/bit TX/RX energy efficiency for body area applications.
Proceedings of the International SoC Design Conference, 2016

A high efficiency single-inductor dual-output buck converter with adaptive freewheel current and hybrid mode control.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

A wireless charging circuit with high power efficiency and security for implantable devices.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2016

An image compression algorithm for wireless endoscopy and its ASIC implementation.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2016

Image enhancement techniques in an image monitoring system for total knee arthroplasty.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2016

A 410-nW efficient QRS processor for mobile ECG monitoring in 0.18-μm CMOS.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2016

2015
A high-throughput multi-rate LDPC decoder for error correction of solid-state drives.
Proceedings of the 2015 IEEE Workshop on Signal Processing Systems, 2015

A delta-sigma-based transmitter utilizing FIR-embedded digital power amplifiers.
Proceedings of the IEEE 58th International Midwest Symposium on Circuits and Systems, 2015

A fast AGC method for multimode zero-IF/sliding-IF WPAN/BAN receivers.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Bowel sound based digestion state recognition using artificial neural network.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2015

Dedicated ICs for wearable body sound monitoring.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2015

A 2.4 GHz two-point Δ-Σ modulator with gain calibration and AFC for WPAN/BAN applications.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

2014
A low-power DC offset calibration method independent of IF gain for zero-IF receiver.
Sci. China Inf. Sci., 2014

Estimation of the relative pose of the femoral and acetabular components in a visual aided system for total hip replacement surgeries.
Proceedings of the IEEE 12th International New Circuits and Systems Conference, 2014

A smart capsule for in-body pH and temperature continuous monitoring.
Proceedings of the IEEE 57th International Midwest Symposium on Circuits and Systems, 2014

Fetal heart rate monitoring system with mobile internet.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

2013
A Reconfigurable Sliding-IF Transceiver for 400 MHz/2.4 GHz IEEE 802.15.6/ZigBee WBAN Hubs With Only 21% Tuning Range VCO.
IEEE J. Solid State Circuits, 2013

Lifetime tracing of cardiopulmonary sounds with ultra-low-power sound sensor stick connected to wireless mobile network.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013

A LUT-free DC offset calibration method for removing the PGA-gain-correlated offset residue.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

2012
A wirelessly ultra-low-power system for equilibrium measurements in total hip replacement surgery.
Proceedings of the 10th IEEE International NEWCAS Conference, 2012

A baseband transceiver for multi-mode and multi-band SoC.
Proceedings of the 55th IEEE International Midwest Symposium on Circuits and Systems, 2012

A programmable low-pass filter with adaptive miller compensation for zero-IF transceiver.
Proceedings of the 55th IEEE International Midwest Symposium on Circuits and Systems, 2012

An adaptive real-time method for fetal heart rate extraction based on phonocardiography.
Proceedings of the 2012 IEEE Biomedical Circuits and Systems Conference, 2012

2011
Low-power SoC design for Ligament Balance Measuring System in Total Knee Arthroplasty.
Proceedings of the 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2011

2010
An Energy-Efficient ASIC for Wireless Body Sensor Networks in Medical Applications.
IEEE Trans. Biomed. Circuits Syst., 2010

An energy-efficient SoC for closed-loop medical monitoring and intervention.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2010

A high-energy-efficiency link scheme for closed-loop medical monitoring and intervention.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

A low-power remotely-programmable MCU for implantable medical devices.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

2009
High-Resolution ADC Linearity Testing Using a Fully Digital-Compatible BIST Strategy.
IEEE Trans. Instrum. Meas., 2009

A Wireless Capsule Endoscope System With Low-Power Controlling and Processing ASIC.
IEEE Trans. Biomed. Circuits Syst., 2009

An Energy Efficient Implementation of On-demand MAC Protocol in Medical Wireless Body Sensor Networks.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

2008
Using asynchronous circuits for communications in wireless endoscopic capsule.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2008

2007
Testing High-Resolution ADCs With Low-Resolution/Accuracy Deterministic Dynamic Element Matched DACs.
IEEE Trans. Instrum. Meas., 2007

A fully digital-compatible BIST strategy for ADC linearity testing.
Proceedings of the 2007 IEEE International Test Conference, 2007

Deterministic DEM DAC Performance Analysis.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

2006
A deterministic dynamic element matching approach for testing high-resolution ADCs with low-accuracy excitations.
IEEE Trans. Instrum. Meas., 2006

2005
A segmented thermometer coded DAC with deterministic dynamic element matching for high resolution ADC test.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Dither incorporated deterministic dynamic element matching for high resolution ADC test using extremely low resolution DACs.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

2004
Parameter optimization of deterministic dynamic element matching DACs for accurate and cost-effective ADC testing.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

Testing high resolution ADCs using deterministic dynamic element matching.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

A background digital self-calibration scheme for pipelined ADCs based on transfer curve estimation.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004


  Loading...