Chun Zhang

Orcid: 0000-0002-8441-8679

According to our database1, Chun Zhang authored at least 272 papers between 1999 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Integration of transcriptomic analysis and multiple machine learning approaches identifies NAFLD progression-specific hub genes to reveal distinct genomic patterns and actionable targets.
J. Big Data, December, 2024

Impedance Specifications for Stability Design of Grid-Connected DC Distribution Power Systems.
IEEE Trans. Ind. Electron., June, 2024

Pellet image segmentation model of superpixel feature-based support vector machine in digital twin.
Appl. Soft Comput., January, 2024

Coordinated scheduling problems for sustainable production of container terminals: a literature review.
Ann. Oper. Res., January, 2024

Fast Adaptive Coarse-to-Fine PatchMatch-Based Defect Detection on Nonflat Prints.
IEEE Trans. Instrum. Meas., 2024

TransFG: A Cross-View Geo-Localization of Satellite and UAVs Imagery Pipeline Using Transformer-Based Feature Aggregation and Gradient Guidance.
IEEE Trans. Geosci. Remote. Sens., 2024

RoadCT: A Hybrid CNN-Transformer Network for Road Extraction From Satellite Imagery.
IEEE Geosci. Remote. Sens. Lett., 2024

2023
MFGAD-INT: in-band network telemetry data-driven anomaly detection using multi-feature fusion graph deep learning.
J. Cloud Comput., December, 2023

A hybrid-driven remaining useful life prediction method combining asymmetric dual-channel autoencoder and nonlinear Wiener process.
Appl. Intell., November, 2023

Optimized Dropkey-Based Grad-CAM: Toward Accurate Image Feature Localization.
Sensors, October, 2023

Does physical attractiveness facilitate customer citizenship behaviors? Cross-cultural evidence from the peer-to-peer economy.
Int. J. Inf. Manag., June, 2023

A 128 Gbps PAM-4 feed forward equaliser with optimized 1UI pulse generator in 65 nm CMOS.
IET Circuits Devices Syst., May, 2023

CANET: A hierarchical CNN-Attention model for Network Intrusion Detection.
Comput. Commun., May, 2023

Comparison of implicit-explicit and Newton linearized variable two-step BDF methods for semilinear parabolic equations.
Comput. Appl. Math., February, 2023

LP-SLAM: Language-Perceptive RGB-D SLAM system based on Large Language Model.
CoRR, 2023

A Low Noise High Speed Dynamic Comparator Insensitive to PVT and Common-mode Input.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

Energy-Efficient Pose-Estimation FPGA-Accelerator for Real-Time Mobile V-SLAM Robot.
Proceedings of the 66th IEEE International Midwest Symposium on Circuits and Systems, 2023

Less is More: Decoupled High-Semantic Encoding for Action Recognition.
Proceedings of the 2023 ACM International Conference on Multimedia Retrieval, 2023

High Linearity Front-End Circuit for RF Sampling ADCs with Nonlinear Junction Capacitor Cancellation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Hardware-Software Co-Design of Matrix-Solving for Non-Linear Optimization in SLAM Systems.
Proceedings of the 49th Annual Conference of the IEEE Industrial Electronics Society, 2023

Stability Analysis and Impedance Specifications of Grid-Connected DC Distribution Power Systems.
Proceedings of the 49th Annual Conference of the IEEE Industrial Electronics Society, 2023

MSeg-SLAM: A Semantic Visual SLAM System for Dynamic Scenes.
Proceedings of the IEEE International Conference on Integrated Circuits, 2023

A 325 FPS Corner-Detection Accelerator with Hardware-Oriented Optimization.
Proceedings of the IEEE International Conference on Integrated Circuits, 2023

A Target Tracking Agorithm Based on EM Method with Inaccurate Based Stations.
Proceedings of the IEEE International Conference on Signal Processing, 2023

A Sensor Deployment Algorithm for Localization in Obstacle Environment.
Proceedings of the IEEE International Conference on Signal Processing, 2023

Unsupervised Optical Mark Localization for Answer Sheet Based on Energy Optimization.
Proceedings of the 9th IEEE International Conference on Cloud Computing and Intelligent Systems, 2023

LineMod-2D Rigid Body Localization based on the Voting Mechanism.
Proceedings of the 9th IEEE International Conference on Cloud Computing and Intelligent Systems, 2023

Detection of Microcrack in Eggs Based on Improved U-Net.
Proceedings of the 9th IEEE International Conference on Cloud Computing and Intelligent Systems, 2023

2022
An incentive mechanism-based negotiation model for green supply chain networks.
Trans. Inst. Meas. Control, 2022

A 56-Gbps PAM-4 Wireline Receiver With 4-Tap Direct DFE Employing Dynamic CML Comparators in 65 nm CMOS.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

LORM: a novel reinforcement learning framework for biped gait control.
PeerJ Comput. Sci., 2022

LRATD: a lightweight real-time abnormal trajectory detection approach for road traffic surveillance.
Neural Comput. Appl., 2022

A simple normalization technique using window statistics to improve the out-of-distribution generalization on medical images.
CoRR, 2022

Bursting oscillations with adding-sliding structures in a Filippov-type Chua's circuit.
Commun. Nonlinear Sci. Numer. Simul., 2022

MR-GMMExplore: Multi-Robot Exploration System in Unknown Environments based on Gaussian Mixture Model.
Proceedings of the IEEE International Conference on Robotics and Biomimetics, 2022

SG-TCN: Semantic Guidance Temporal Convolutional Network for Action Segmentation.
Proceedings of the International Joint Conference on Neural Networks, 2022

An Efficient FPGA Design for Fixed-point Exponential Calculation.
Proceedings of the 2022 IEEE International Conference on Integrated Circuits, 2022

ROPY-SLAM: a Heterogeneous CPU-FPGA System for Simultaneous Localization and Mapping.
Proceedings of the 2022 IEEE International Conference on Integrated Circuits, 2022

A statistics-based background capacitor mismatch calibration algorithm for SAR ADC.
Proceedings of the 2022 IEEE International Conference on Integrated Circuits, 2022

An Optimized DV-Hop Localization Algorithm Based on Hop Count Optimization and Ranging Correction.
Proceedings of the IEEE International Conference on Signal Processing, 2022

2021
An extended proximal ADMM algorithm for three-block nonconvex optimization problems.
J. Comput. Appl. Math., 2021

Efficacy of ultrasonography and Tc-99m MIBI SPECT/CT in preoperative localization of parathyroid adenomas causing primary hyperthyroidism.
BMC Medical Imaging, 2021

An 11.05 mW/Gbps Quad-Channel 1.25-10.3125 Gbps Serial Transceiver With a 2-Tap Adaptive DFE and a 3-Tap Transmit FFE in 40 nm CMOS.
IEEE Access, 2021

A 40 Gbps PAM-4 Receiver with 12-Tap Direct Decision Feedback Equalizer Employing 1.5-stage Slicers in 65-nm CMOS.
Proceedings of the 2021 IEEE International Conference on Integrated Circuits, 2021

A Portable Accelerator of Proximal Policy Optimization for Robots.
Proceedings of the 2021 IEEE International Conference on Integrated Circuits, 2021

3D human pose estimation base on weighted joint loss.
Proceedings of the ICAIIS 2021: 2021 2nd International Conference on Artificial Intelligence and Information Systems, Chongqing, China, May 28, 2021

2020
A Matching Game-Based Data Collection Algorithm with Mobile Collectors.
Sensors, 2020

Power Efficient Tiny Yolo CNN Using Reduced Hardware Resources Based on Booth Multiplier and WALLACE Tree Adders.
IEEE Open J. Circuits Syst., 2020

Frequency-Domain Modeling and Analysis of Injection-Locked Oscillators.
IEEE J. Solid State Circuits, 2020

Long-term prediction of chaotic systems with recurrent neural networks.
CoRR, 2020

Temporal-spatial-frequency depth extraction of brain-computer interface based on mental tasks.
Biomed. Signal Process. Control., 2020

A method from offline analysis to online training for the brain-computer interface based on motor imagery and speech imagery.
Biomed. Signal Process. Control., 2020

A CRNN System for Sound Event Detection Based on Gastrointestinal Sound Dataset Collected by Wearable Auscultation Devices.
IEEE Access, 2020

A Linearized Alternating Direction Method of Multipliers for a Special Three-Block Nonconvex Optimization Problem of Background/Foreground Extraction.
IEEE Access, 2020

A Temperature-Control System for Continuous-Flow Microwave Heating Using a Magnetron as Microwave Source.
IEEE Access, 2020

A CNN Based Human Bowel Sound Segment Recognition Algorithm with Reduced Computation Complexity for Wearable Healthcare System.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Life prediction of battery based on random forest optimized by genetic algorithm.
Proceedings of the IEEE International Conference on Prognostics and Health Management, 2020

U Recurrent Neural Network for Polyphonic Sound Event Detection and Localization.
Proceedings of the ICMSSP 2020: 5th International Conference on Multimedia Systems and Signal Processing, 2020

Design of Efficient CNN Accelerator Based on Zynq Platform.
Proceedings of the 15th International Conference on Computer Science & Education, 2020

2019
A Wi-Fi-Based Wireless Indoor Position Sensing System with Multipath Interference Mitigation.
Sensors, 2019

Essence Knowledge Distillation for Speech Recognition.
CoRR, 2019

Efficient mass- and energy-preserving schemes for the coupled nonlinear Schrödinger-Boussinesq system.
Appl. Math. Lett., 2019

A 4-40 Gb/s PAM-4 transmitter with a hybrid driver in 65 nm CMOS technology.
Proceedings of the 62nd IEEE International Midwest Symposium on Circuits and Systems, 2019

A Solution to Optimize Multi-Operand Adders in CNN Architecture on FPGA.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

2018
A 120 pJ/bit ΔΣ-Based 2.4-GHz Transmitter Using FIR-Embedded Digital Power Amplifier.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

Implantable Wireless Intracranial Pressure Monitoring Based on Air Pressure Sensing.
IEEE Trans. Biomed. Circuits Syst., 2018

A 3-D Surface Reconstruction with Shadow Processing for Optical Tactile Sensors.
Sensors, 2018

A power scalable 2-10 Gb/s PI-based clock data recovery for multilane applications.
Microelectron. J., 2018

Dynamic Model for a Uniform Microwave-Assisted Continuous Flow Process of Ethyl Acetate Production.
Entropy, 2018

A Bio-inspired Collision Detecotr for Small Quadcopter.
CoRR, 2018

Efficient high-order structure-preserving methods for the generalized Rosenau-type equation with power law nonlinearity.
Commun. Nonlinear Sci. Numer. Simul., 2018

A Crowdsourcing-Based Wi-Fi Fingerprinting Mechanism Using Un-supervised Learning.
Proceedings of the Wireless Algorithms, Systems, and Applications, 2018

An Energy-Efficient High-Frequency Neuro-Stimulator with Parallel Pulse Generators, Staggered Output and Extended Average Current Range.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A Bio-inspired Collision Detector for Small Quadcopter.
Proceedings of the 2018 International Joint Conference on Neural Networks, 2018

A Dual-Modal Vision-Based Tactile Sensor for Robotic Hand Grasping.
Proceedings of the 2018 IEEE International Conference on Robotics and Automation, 2018

Functional Analysis of Autism Candidate Genes Based on Comparative Genomics Analysis.
Proceedings of the Intelligent Computing Theories and Application, 2018

Time-Frequency-Space Range of EEG Selected by NMI for BCIs.
Proceedings of the 2018 7th International Conference on Bioinformatics and Biomedical Science, 2018

A Model for Detection of Angular Velocity of Image Motion Based on the Temporal Tuning of the Drosophila.
Proceedings of the Artificial Neural Networks and Machine Learning - ICANN 2018, 2018

2017
Resonance Frequency Readout Circuit for a 900 MHz SAW Device.
Sensors, 2017

A 40-Gb/s Quarter-Rate SerDes Transmitter and Receiver Chipset in 65-nm CMOS.
IEEE J. Solid State Circuits, 2017

Boundary-Crisis-Induced Complex Bursting Patterns in a Forced Cubic Map.
Int. J. Bifurc. Chaos, 2017

Mixed-mode oscillations in a nonlinear time delay oscillator with time varying parameters.
Commun. Nonlinear Sci. Numer. Simul., 2017

Tactile array sensor for manipulator based on the barometric chips.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

An enhanced TDoA approach handling multipath interference in Wi-Fi based indoor localization systems.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

A 40-80 Gb/s PAM4 wireline transmitter in 65nm CMOS technology.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

A high-performance FPGA-based LDPC decoder for solid-state drives.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

An 8.5-12.5GHz wideband LC PLL with dual VCO cores for multi-protocol SerDes.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

A 25Gb/s serial-link repeater with receiver equalization and transmitter de-emphasis in 0.13μm SiGe BiCMOS.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

Wi-Fi TDoA indoor localization system based on SDR platform.
Proceedings of the IEEE International Symposium on Consumer Electronics, 2017

Multi-rate polar codes for solid state drives.
Proceedings of the 2017 IEEE International Conference on Acoustics, 2017

A 4-40 Gb/s PAM4 transmitter with output linearity optimization in 65 nm CMOS.
Proceedings of the 2017 IEEE Custom Integrated Circuits Conference, 2017

A 10 GHz 56 fsrms-integrated-jitter and -247 dB FOM ring-VCO based injection-locked clock multiplier with a continuous frequency-tracking loop in 65 nm CMOS.
Proceedings of the 2017 IEEE Custom Integrated Circuits Conference, 2017

Wireless intracranial pressure monitoring system based on an air pressure sensor.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

Live demonstration: Wireless intracranial pressure monitoring system based on an air pressure sensor.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

Design of a closed-loop, bi-directional brain-machine-interface integrated on-chip spike sorting.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

2016
A 14-bit 250 MS/s IF Sampling Pipelined ADC in 180 nm CMOS Process.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

A 70 mW 25 Gb/s Quarter-Rate SerDes Transmitter and Receiver Chipset With 40 dB of Equalization in 65 nm CMOS Technology.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

On the Optimal Threshold Voltage Computation of On-Chip Noise Sensors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Adaptive reaching law based sliding mode control for electromagnetic formation flight with input saturation.
J. Frankl. Inst., 2016

Feature-Based On-Line Object Tracking Combining Both Keypoints and Quasi-Keypoints Matching.
IEICE Trans. Inf. Syst., 2016

High precision intelligent flexible grasping front-end with CMOS interface for robots application.
Sci. China Inf. Sci., 2016

An FPGA Emulation Platform for Polar Codes.
Proceedings of the 2016 IEEE International Workshop on Signal Processing Systems, 2016

A 28-Gb/s transmitter with 3-tap FFE and T-coil enhanced terminal in 65-nm CMOS technology.
Proceedings of the 14th IEEE International New Circuits and Systems Conference, 2016

High speed serial interface transceiver controller based on JESD204B.
Proceedings of the 14th IEEE International New Circuits and Systems Conference, 2016

Aperture error reduction technique for subrange SAR ADC.
Proceedings of the 14th IEEE International New Circuits and Systems Conference, 2016

Measurement of three-dimensional deformation and load using vision-based tactile sensor.
Proceedings of the 25th IEEE International Symposium on Industrial Electronics, 2016

A high efficiency single-inductor dual-output buck converter with adaptive freewheel current and hybrid mode control.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

LGMD and DSNs neural networks integration for collision predication.
Proceedings of the 2016 International Joint Conference on Neural Networks, 2016

Decentralized primary frequency regulation control strategy for vehicle-to-grid.
Proceedings of the 3rd International Conference on Systems and Informatics, 2016

Multimodal Electronic Skin Integrated with 3-D Force Detection and High Precision Position Estimation.
Proceedings of the Cognitive Systems and Signal Processing, 2016

A 5-50 Gb/s quarter rate transmitter with a 4-tap multiple-MUX based FFE in 65 nm CMOS.
Proceedings of the ESSCIRC Conference 2016: 42<sup>nd</sup> European Solid-State Circuits Conference, 2016

On the performance of wireless source-location using TDOA measurements under poor geometry.
Proceedings of the 13th IEEE Annual Consumer Communications & Networking Conference, 2016

An improved 40 Gb/s CDR with jitter-suppression filters and phase-compensating interpolators.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2016

2015
A Low-Cost UHF RFID System With OCA Tag for Short-Range Communication.
IEEE Trans. Ind. Electron., 2015

A 80 mW 40 Gb/s Transmitter With Automatic Serializing Time Window Search and 2-tap Pre-Emphasis in 65 nm CMOS Technology.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

Prior class dissimilarity based linear neighborhood propagation.
Knowl. Based Syst., 2015

An Adaptive Trust Region Method Based on Simple Conic Models.
J. Math. Model. Algorithms Oper. Res., 2015

A novel compressed sensing ultra-wideband channel estimation method based on non-convex optimization.
Int. J. Commun. Syst., 2015

A Real-time Computer Vision System for Biscuit Defect Inspection.
Proceedings of the VISAPP 2015, 2015

Depth-silhouette-Based Action Recognition for Real-time Interactions.
Proceedings of the VISAPP 2015, 2015

A high-throughput multi-rate LDPC decoder for error correction of solid-state drives.
Proceedings of the 2015 IEEE Workshop on Signal Processing Systems, 2015

A power-efficient 14-bit 250MS/s pipelined ADC.
Proceedings of the IEEE 13th International New Circuits and Systems Conference, 2015

A digital blind background calibration algorithm for pipelined ADC.
Proceedings of the IEEE 13th International New Circuits and Systems Conference, 2015

A 14-bit 200MS/s low-power pipelined flash-SAR ADC.
Proceedings of the IEEE 58th International Midwest Symposium on Circuits and Systems, 2015

A 40Gb/s 39mW 3-tap adaptive closed-loop decision feedback equalizer in 65nm CMOS.
Proceedings of the IEEE 58th International Midwest Symposium on Circuits and Systems, 2015

A high-voltage, energy-efficient, 4-electrode output stage for implantable neural stimulator.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

A fast AGC method for multimode zero-IF/sliding-IF WPAN/BAN receivers.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

A 48mW 15-to-28Gb/s source-synchronous receiver with adaptive DFE using hybrid alternate clock scheme and baud-rate CDR in 65nm CMOS.
Proceedings of the ESSCIRC Conference 2015, 2015

A 4×20-Gb/s 0.86pJ/b/lane 2-tap-FFE source-series-terminated transmitter with far-end crosstalk cancellation and divider-less clock generation in 65nm CMOS.
Proceedings of the 2015 IEEE Custom Integrated Circuits Conference, 2015

A 190mW 40Gbps SerDes transmitter and receiver chipset in 65nm CMOS technology.
Proceedings of the 2015 IEEE Custom Integrated Circuits Conference, 2015

A high-speed analog front-end circuit used in a 12bit 1GSps pipeline ADC.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

A new method for demodulation of FSK signal with severe impulse interference.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

2014
Connectivity-Preserved and Force-Based Deployment Scheme for Mobile Sensor Network.
Wirel. Pers. Commun., 2014

Runtime Self-Calibrated Temperature-Stress Cosensor for 3-D Integrated Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2014

A Multi-Tag Emulator for the UHF RFID System.
IEEE Trans. Instrum. Meas., 2014

A 4.8-mW/Gb/s 9.6-Gb/s 5 + 1-Lane Source-Synchronous Transmitter in 65-nm Bulk CMOS.
IEEE Trans. Circuits Syst. II Express Briefs, 2014

On the Deployment of On-Chip Noise Sensors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Thermal design and simulation of automotive headlamps using white LEDs.
Microelectron. J., 2014

Delayed Bifurcations to Repetitive Spiking and Classification of Delay-Induced Bursting.
Int. J. Bifurc. Chaos, 2014

On two-parameter bifurcation analysis of switched system composed of Duffing and van der Pol oscillators.
Commun. Nonlinear Sci. Numer. Simul., 2014

A flexible capacitive tactile sensor array with micro structure for robotic application.
Sci. China Inf. Sci., 2014

A low-power DC offset calibration method independent of IF gain for zero-IF receiver.
Sci. China Inf. Sci., 2014

Estimation of the relative pose of the femoral and acetabular components in a visual aided system for total hip replacement surgeries.
Proceedings of the IEEE 12th International New Circuits and Systems Conference, 2014

An on-chip security guard based on zero-power authentication for implantable medical devices.
Proceedings of the IEEE 57th International Midwest Symposium on Circuits and Systems, 2014

Variation aware optimal threshold voltage computation for on-chip noise sensors.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Wireless Micro-Ball endoscopic image enhancement using histogram information.
Proceedings of the 36th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2014

MSim: A general cycle accurate simulation platform for memcomputing studies.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

A 75mW 50Gbps SerDes transmitter with automatic serializing time window search in 65nm CMOS technology.
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, 2014

2013
A Reconfigurable Sliding-IF Transceiver for 400 MHz/2.4 GHz IEEE 802.15.6/ZigBee WBAN Hubs With Only 21% Tuning Range VCO.
IEEE J. Solid State Circuits, 2013

Further stability criteria on discrete-time delayed neural networks with distributeddelay.
Neurocomputing, 2013

Improved Stability Criteria on Discrete- time Systems with Time- varying and Distributed Delays.
Int. J. Autom. Comput., 2013

Fast Filter-Based Boolean Matchers.
IEEE Embed. Syst. Lett., 2013

A 6.4 Gb/s source synchronous receiver core with variable offset equalizer in 65nm CMOS.
Proceedings of the 2013 International Symposium on VLSI Design, Automation, and Test, 2013

Lifetime tracing of cardiopulmonary sounds with ultra-low-power sound sensor stick connected to wireless mobile network.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013

A high-resolution time interval measurement chip in underground positioning system.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013

Benchmarking for research in power delivery networks of three-dimensional integrated circuits.
Proceedings of the International Symposium on Physical Design, 2013

A high-performance low-power SoC for mobile one-time password applications.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Live demonstration: A wireless force measurement system for total knee arthroplasty.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

PathART: path-sensitive adaptive random testing.
Proceedings of the 5th Asia-Pacific Symposium on Internetware, 2013

Learning to Detect Frame Synchronization.
Proceedings of the Neural Information Processing - 20th International Conference, 2013

Rate distortion Multiple Instance Learning for image classification.
Proceedings of the IEEE International Conference on Image Processing, 2013

Novel crack sensor for TSV-based 3D integrated circuits: design and deployment perspectives.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

Eagle-eye: a near-optimal statistical framework for noise sensor placement.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

3D reconfigurable power switch network for demand-supply matching between multi-output power converters and many-core microprocessors.
Proceedings of the Design, Automation and Test in Europe, 2013

A novel BOM based multi-resolution model for federated simulation.
Proceedings of the 2013 IEEE 17th International Conference on Computer Supported Cooperative Work in Design (CSCWD), 2013

Thermal-reliable 3D clock-tree synthesis considering nonlinear electrical-thermal-coupled TSV model.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

A 10Gb/s analog equalizer in 0.18um CMOS.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

Low-resistance wide-voltage-range analog switch for implantable neural stimulators.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

2012
Sensing with Superconducting Point Contacts.
Sensors, 2012

A novel memristor-based rSRAM structure for multiple-bit upsets immunity.
IEICE Electron. Express, 2012

A 6.4 Gb/s data lane design for forwarded clock receiver in 65nm CMOS.
Proceedings of the 55th IEEE International Midwest Symposium on Circuits and Systems, 2012

A baseband transceiver for multi-mode and multi-band SoC.
Proceedings of the 55th IEEE International Midwest Symposium on Circuits and Systems, 2012

A combined low power SAR capacitance-to-digital / analog-to-digital converter for multisensory system.
Proceedings of the 55th IEEE International Midwest Symposium on Circuits and Systems, 2012

A novel clock and data recovery scheme for 10Gbps source synchronous receiver in 65nm CMOS.
Proceedings of the 55th IEEE International Midwest Symposium on Circuits and Systems, 2012

Self-map building in wireless sensor network based on TDOA measurements.
Proceedings of the IEEE International Conference on Multisensor Fusion and Integration for Intelligent Systems, 2012

A Time-Frequency Aware Cochlear Implant: Algorithm and System.
Proceedings of the Advances in Neural Networks - ISNN 2012, 2012

Design of low power 3D hybrid memory by non-volatile CBRAM-crossbar with block-level data-retention.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

An FPGA-based acceleration platform for auction algorithm.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

A current-to-voltage integrator using area-efficient correlated double sampling technique.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

A wide dynamic range and fast update rate integrated interface for capacitive sensors array.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

Design of a low-cost low-power baseband-processor for UHF RFID tag with asynchronous design technique.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

A wireless force measurement system for Total Knee Arthroplasty.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

A 9.6Gb/s 5+1-lane source synchronous transmitter in 65nm CMOS technology.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

A 10Gbps CDR based on phase interpolator for source synchronous receiver in 65nm CMOS.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

A wirelessly programmable chip for multi-channel neural stimulation.
Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2012

Fair energy resource allocation by minority game algorithm for smart buildings.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

A fast computable delay model for subthreshold circuit.
Proceedings of the 25th IEEE Canadian Conference on Electrical and Computer Engineering, 2012

An adaptive real-time method for fetal heart rate extraction based on phonocardiography.
Proceedings of the 2012 IEEE Biomedical Circuits and Systems Conference, 2012

2011
Checking enforcement of integrity constraints in database applications based on code patterns.
J. Syst. Softw., 2011

Ultra-high-frequency radio frequency identification reader receiver with 10 dBm input P1 dB and -74 dBm sensitivity in 0.18 μm CMOS.
IET Circuits Devices Syst., 2011

A modular method to implement multidisciplinary CAE systems into a distributed simulation environment.
Proceedings of the IEEE International Conference on Systems, 2011

A 0.13µm CMOS 1.5-to-2.15GHz low power transmitter front-end for SDR applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Fault modeling and characteristics of SRAM-based FPGAs (abstract only).
Proceedings of the ACM/SIGDA 19th International Symposium on Field Programmable Gate Arrays, 2011

Low-power SoC design for Ligament Balance Measuring System in Total Knee Arthroplasty.
Proceedings of the 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2011

A variable-step numerical method for collaborative computation of two coupling models in multidisciplinary engineering systems.
Proceedings of the 2011 15th International Conference on Computer Supported Cooperative Work in Design, 2011

A passive UHF tag for RFID-based train axle temperature measurement system.
Proceedings of the 2011 IEEE Custom Integrated Circuits Conference, 2011

A low-power 433MHz transmitter for battery-less Tire Pressure Monitoring System.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

A digital sliding mode controller for switching power supply converters.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

Design exploration of 3D stacked non-volatile memory by conductive bridge based crossbar.
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011

2010
An Energy-Efficient ASIC for Wireless Body Sensor Networks in Medical Applications.
IEEE Trans. Biomed. Circuits Syst., 2010

The Integrated Application Based on Real-time Extended UML and Improved Formal Method in Real-time Embedded Software Testing.
J. Networks, 2010

Local Milieu in Developing China's Cultural and Creative Industry: The Case of Nanluoguxiang in Beijing.
Int. J. Asian Bus. Inf. Manag., 2010

Accelerating Boolean Matching Using Bloom Filter.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2010

Engineering a scalable Boolean matching based on EDA SaaS 2.0.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

Precise analysis of hydraulic press performance based on rough set.
Proceedings of the Seventh International Conference on Fuzzy Systems and Knowledge Discovery, 2010

Building a faster boolean matcher using bloom filter.
Proceedings of the ACM/SIGDA 18th International Symposium on Field Programmable Gate Arrays, 2010

An energy-efficient SoC for closed-loop medical monitoring and intervention.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2010

Fully-differential low-offset interface for capacitive sensors.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

A high-energy-efficiency link scheme for closed-loop medical monitoring and intervention.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

A low-power remotely-programmable MCU for implantable medical devices.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

2009
Low-Power Circuits for the Bidirectional Wireless Monitoring System of the Orthopedic Implants.
IEEE Trans. Biomed. Circuits Syst., 2009

vPath: Precise Discovery of Request Processing Paths from Black-Box Observations of Thread and Network Activities.
Proceedings of the 2009 USENIX Annual Technical Conference, 2009

Separation of Sensor Control and Data in Closed-Loop Sensor Networks.
Proceedings of the Sixth Annual IEEE Communications Society Conference on Sensor, 2009

A Robust Radio Frequency Identification System Enhanced with Spread Spectrum Technique.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

A Novel Demodulator for Low Modulation Index RF Signal in Passive UHF RFID Tag.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

A vision based human robot interface for robotic walkthroughs in a biotech laboratory.
Proceedings of the 4th ACM/IEEE International Conference on Human Robot Interaction, 2009

A fully integrated CMOS UHF RFID reader transceiver for handheld applications.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2009

Uniform stabilization of Markovian jump linear systems with logarithmic quantization - A convex approach.
Proceedings of the 48th IEEE Conference on Decision and Control, 2009

Stabilization of Markovian jump linear systems with limited information - A convex approach.
Proceedings of the American Control Conference, 2009

An Optimal Capacity Planning Algorithm for Provisioning Cluster-Based Failure-Resilient Composite Services.
Proceedings of the 2009 IEEE International Conference on Services Computing (SCC 2009), 2009

2008
Centralized and Decentralized Control With Limited Information
PhD thesis, 2008

Integrated power management circuit for piezoelectronic generator in wireless monitoring system of orthopaedic implants.
IET Circuits Devices Syst., 2008

Classification of access network types: Ethernet, wireless LAN, ADSL, cable modem or dialup?
Comput. Networks, 2008

An improved method of power control with CMOS class-E power amplifiers.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Bandwidth extension for ultra-wideband CMOS low-noise amplifiers.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

A Temporal Data-Mining Approach for Discovering End-to-End Transaction Flows.
Proceedings of the 2008 IEEE International Conference on Web Services (ICWS 2008), 2008

Power Issues on Circuit Design for Cochlear Implants.
Proceedings of the 4th IEEE International Symposium on Electronic Design, 2008

A low-power IC design for the wireless monitoring system of the orthopedic implants.
Proceedings of the IEEE 2008 Custom Integrated Circuits Conference, 2008

Analysis of sampled-data interconnected systems.
Proceedings of the 47th IEEE Conference on Decision and Control, 2008

Automation of the Complete Sample Management in a Biotech Laboratory.
Proceedings of the Visions of Computer Science, 2008

Function-based memory partitioning on low power digital signal processor for cochlear implants.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2008

A low-power RF front-end of passive UHF RFID transponders.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2008

Trade-off between the sampling rate and the data accuracy.
Proceedings of the American Control Conference, 2008

Leveraging Service Composition Relationship to Improve CPU Demand Estimation in SOA Environments.
Proceedings of the 2008 IEEE International Conference on Services Computing (SCC 2008), 2008

2007
Power Harvesting With PZT Ceramics.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

A Low Power, Fully Pipelined JPEG-LS Encoder for Lossless Image Compression.
Proceedings of the 2007 IEEE International Conference on Multimedia and Expo, 2007

Design Practice of Power-oriented Integrated Circuits for Biomedical Implant Systems.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

Distributed Resource Management and Admission Control of Stream Processing Systems with Max Utility.
Proceedings of the 27th IEEE International Conference on Distributed Computing Systems (ICDCS 2007), 2007

Finite gain stabilization with logarithmic quantization.
Proceedings of the 46th IEEE Conference on Decision and Control, 2007

Decentralized Control with Communication Bandwidth Constraints.
Proceedings of the American Control Conference, 2007

QoS-Aware Optimization of Composite-Service Fulfillment Policy.
Proceedings of the 2007 IEEE International Conference on Services Computing (SCC 2007), 2007

2006
DB2 goes hybrid: Integrating native XML and XQuery with relational data and SQL.
IBM Syst. J., 2006

Cost-based optimization in DB2 XML.
IBM Syst. J., 2006

A whole genome long-range haplotype (WGLRH) test for detecting imprints of positive selection in human populations.
Bioinform., 2006

A Wideband Frequency-Shift Keying Demodulator for Wireless Neural Stimulation Microsystems.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

On optimal communication cost for gathering correlated data through wireless sensor networks.
Proceedings of the 12th Annual International Conference on Mobile Computing and Networking, 2006

An open-source based DSP with enhanced multimedia-processing capacity for embedded applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A 3V 110µW 3.1 ppm/°C curvature-compensated CMOS bandgap reference.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Optimal Power Allocation in Wireless Networks with Transmitter-Receiver Power Tradeoffs.
Proceedings of the INFOCOM 2006. 25th IEEE International Conference on Computer Communications, 2006

A Distributed Algorithm for Joint Sensing and Routing in Wireless Networks with Non-Steerable Directional Antennas.
Proceedings of the 14th IEEE International Conference on Network Protocols, 2006

A Cochlear System with Implant DSP.
Proceedings of the 2006 IEEE International Conference on Acoustics Speech and Signal Processing, 2006

Power Analysis for the MOS AC/DC Rectifier of Passive RFID Transponders.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2006, 2006

Design of Adaptive Deblocking Filter for H.264/AVC Decoder SOC.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2006, 2006

2005
Statistical Learning Techniques for Costing XML Queries.
Proceedings of the 31st International Conference on Very Large Data Bases, Trondheim, Norway, August 30, 2005

System RX: One Part Relational, One Part XML.
Proceedings of the ACM SIGMOD International Conference on Management of Data, 2005

A novel method of lossy image compression for digital image sensors with Bayer color filter arrays.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

On optimal routing with multiple traffic matrices.
Proceedings of the INFOCOM 2005. 24th Annual Joint Conference of the IEEE Computer and Communications Societies, 2005

Optimal Routing with Multiple Traffic Matrices Tradeoff between Average andWorst Case Performance.
Proceedings of the 13th IEEE International Conference on Network Protocols (ICNP 2005), 2005

A new near-lossless image compression algorithm suitable for hardware design in wireless endoscopy system.
Proceedings of the 2005 International Conference on Image Processing, 2005

A New Near-Lossless Image Compression Method in Digital Image Sensors with Bayer Color Filter Arrays.
Proceedings of the 2005 IEEE International Conference on Acoustics, 2005

2004
On Dynamic Subset Difference Revocation Scheme.
Proceedings of the NETWORKING 2004, 2004

A new approach for near-lossless and lossless image compression with Bayer color filter arrays.
Proceedings of the Third International Conference on Image and Graphics, 2004

An improved algorithm for rate distortion optimization in JPEG2000 and its integrated circuit implementation.
Proceedings of the 2004 IEEE International Conference on Acoustics, 2004

2003
A new variable step size LMS algorithm with application to active noise control.
Proceedings of the 2003 IEEE International Conference on Acoustics, 2003

2002
The Design and Performance Evaluation of Alternative XML Storage Strategies.
SIGMOD Rec., 2002

Comparison of inter-area rekeying algorithms for secure wireless group communications.
Perform. Evaluation, 2002

Storing and querying ordered XML using a relational database system.
Proceedings of the 2002 ACM SIGMOD International Conference on Management of Data, 2002

Automating physical database design in a parallel database.
Proceedings of the 2002 ACM SIGMOD International Conference on Management of Data, 2002

2001
The Niagara Internet Query System.
IEEE Data Eng. Bull., 2001

Generating Synthetic Complex-Structured XML Data.
Proceedings of the Fourth International Workshop on the Web and Databases, 2001

On Supporting Containment Queries in Relational Database Management Systems.
Proceedings of the 2001 ACM SIGMOD international conference on Management of data, 2001

1999
Relational Databases for Querying XML Documents: Limitations and Opportunities.
Proceedings of the VLDB'99, 1999


  Loading...