Stefan Wildermann

Orcid: 0000-0002-4324-2187

Affiliations:
  • Friedrich Alexander University of Erlangen-Nuremberg, Erlangen, Germany


According to our database1, Stefan Wildermann authored at least 98 papers between 2008 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
CRESTS: Chronology-based Reconstruction for Side-Channel Trace Segmentation for XTS-AES on Complex Targets.
Proceedings of the 17th European Workshop on Systems Security, 2024

2023
Automatic Synthesis of FSMs for Enforcing Non-functional Requirements on MPSoCs Using Multi-objective Evolutionary Algorithms.
ACM Trans. Design Autom. Electr. Syst., November, 2023

A Learning-based Methodology for Scenario-aware Mapping of Soft Real-time Applications onto Heterogeneous MPSoCs.
ACM Trans. Design Autom. Electr. Syst., January, 2023

Hybrid Genetic Reinforcement Learning for Generating Run-Time Requirement Enforcers.
Proceedings of the 21st ACM-IEEE International Symposium on Formal Methods and Models for System Design, 2023

RAVEN: Reinforcement Learning for Generating Verifiable Run-Time Requirement Enforcers for MPSoCs.
Proceedings of the Fourth Workshop on Next Generation Real-Time Embedded Systems, 2023

SPEAR-JSON: Selective Parsing of JSON to Enable Accelerated Stream Processing on FPGAs.
Proceedings of the 33rd International Conference on Field-Programmable Logic and Applications, 2023

Seque: Lean and Energy-aware Data Management for IoT Gateways.
Proceedings of the IEEE International Conference on Edge Computing and Communications, 2023

Special Session - Non-Volatile Memories: Challenges and Opportunities for Embedded System Architectures with Focus on Machine Learning Applications.
Proceedings of the International Conference on Compilers, 2023

An FPGA Avro Parser Generator for Accelerated Data Stream Processing.
Proceedings of the Datenbanksysteme für Business, 2023

2022
Design and Evaluation of a Tunable PUF Architecture for FPGAs.
ACM Trans. Reconfigurable Technol. Syst., 2022

Semi-Automatic Locating of Cryptographic Operations in Side-Channel Traces.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2022

On Transferring Application Mapping Knowledge Between Differing MPSoC Architectures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Design and error analysis of accuracy-configurable sequential multipliers via segmented carry chains.
it Inf. Technol., 2022

Task Migration Policy for Thermal-Aware Dynamic Performance Optimization in Many-Core Systems.
IEEE Access, 2022

SIDAM: A Design Space Exploration Framework for Multi-sensor Embedded Systems Powered by Energy Harvesting.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2022

Multi-Requirement Enforcement of Non-Functional Properties on MPSoCs Using Enforcement FSMs - A Case Study.
Proceedings of the Third Workshop on Next Generation Real-Time Embedded Systems, 2022

Auto-Tuning of Raw Filters for FPGAs.
Proceedings of the 32nd International Conference on Field-Programmable Logic and Applications, 2022

Real-Time Waveform Matching with a Digitizer at 10 GS/s.
Proceedings of the 32nd International Conference on Field-Programmable Logic and Applications, 2022

Characterization of Side Channels on FPGA-based Off-The-Shelf Boards against Automated Attacks.
Proceedings of the 30th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2022

Raw Filtering of JSON Data on FPGAs.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Putting IMT to the Test: Revisiting and Expanding Interval Matching Techniques and their Calibration for SCA.
Proceedings of the 2022 Workshop on Attacks and Solutions in Hardware Security, 2022

2021
Speculative Dynamic Reconfiguration and Table Prefetching Using Query Look-Ahead in the ReProVide Near-Data-Processing System.
Datenbank-Spektrum, 2021

On the Approximation of Accuracy-configurable Sequential Multipliers via Segmented Carry Chains.
CoRR, 2021

CORSICA: A Framework for Conducting Real-World Side-Channel Analysis.
Proceedings of the 11th IFIP International Conference on New Technologies, 2021

Domain-Adaptive Soft Real-Time Hybrid Application Mapping for MPSoCs.
Proceedings of the 3rd ACM/IEEE Workshop on Machine Learning for CAD, 2021

Enforcement FSMs: specification and verification of non-functional properties of program executions on MPSoCs.
Proceedings of the MEMOCODE '21: 19th ACM-IEEE International Conference on Formal Methods and Models for System Design, Virtual Event, China, November 20, 2021

A Comparative Evaluation of Latency-Aware Energy Optimization Approaches in Many-Core Systems (Invited Paper).
Proceedings of the Second Workshop on Next Generation Real-Time Embedded Systems, 2021

Choice - A Tunable PUF-Design for FPGAs.
Proceedings of the 31st International Conference on Field-Programmable Logic and Applications, 2021

Approximate Logic Synthesis of Very Large Boolean Networks.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Run-Time Enforcement of Non-functional Program Properties on MPSoCs.
Proceedings of the A Journey of Embedded and Cyber-Physical Systems, 2021

Providing Tamper-Secure SoC Updates Through Reconfigurable Hardware.
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2021

2020
Secure Boot from Non-Volatile Memory for Programmable SoC Architectures.
Proceedings of the 2020 IEEE International Symposium on Hardware Oriented Security and Trust, 2020

Real-Time Task Migration for Dynamic Resource Management in Many-Core Systems.
Proceedings of the Workshop on Next Generation Real-Time Embedded Systems, 2020

SQL Query Processing Using an Integrated FPGA-based Near-Data Accelerator in ReProVide.
Proceedings of the 23rd International Conference on Extending Database Technology, 2020

Scenario-Based Soft Real-Time Hybrid Application Mapping for MPSoCs.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Probabilistic Error Propagation through Approximated Boolean Networks.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Run-Time Enforcement of Non-Functional Application Requirements in Heterogeneous Many-Core Systems.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
Compilation of Dataflow Applications for Multi-Cores using Adaptive Multi-Objective Optimization.
ACM Trans. Design Autom. Electr. Syst., 2019

Hard real-time application mapping reconfiguration for NoC-based many-core systems.
Real Time Syst., 2019

Thermally Composable Hybrid Application Mapping for Real-Time Applications in Heterogeneous Many-Core Systems.
Proceedings of the IEEE Real-Time Systems Symposium, 2019

Compiler-Based High-Level Synthesis of Application-Specific Processors on FPGAs.
Proceedings of the 2019 International Conference on ReConFigurable Computing and FPGAs, 2019

Data-Driven Scenario-Based Application Mapping for Heterogeneous Many-Core Systems.
Proceedings of the 13th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2019

Isolation-Aware Timing Analysis and Design Space Exploration for Predictable and Composable Many-Core Systems.
Proceedings of the 31st Euromicro Conference on Real-Time Systems, 2019

ReProVide: Towards Utilizing Heterogeneous Partially Reconfigurable Architectures for Near-Memory Data Processing.
Proceedings of the Datenbanksysteme für Business, 2019

Resource-Aware Parameter Tuning for Real-Time Applications.
Proceedings of the Architecture of Computing Systems - ARCS 2019, 2019

2018
A Design-Time/Run-Time Application Mapping Methodology for Predictable Execution Time in MPSoCs.
ACM Trans. Embed. Comput. Syst., 2018

Symmetry-Eliminating Design Space Exploration for Hybrid Application Mapping on Many-Core Architectures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Efficient Arithmetic Error Rate Calculus for Visibility Reduced Approximate Adders.
IEEE Embed. Syst. Lett., 2018

Integration of FPGAs in Database Management Systems: Challenges and Opportunities.
Datenbank-Spektrum, 2018

Model-Based Design Automation of Hardware/Software Co-Designs for Xilinx Zynq PSoCs.
Proceedings of the 2018 International Conference on ReConFigurable Computing and FPGAs, 2018

On the Complexity of Mapping Feasibility in Many-Core Architectures.
Proceedings of the 12th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2018

Can Approximate Computing Reduce Power Consumption on FPGAs?
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

Dynamic resource management for heterogeneous many-cores.
Proceedings of the International Conference on Computer-Aided Design, 2018

Design space exploration of multi-output logic function approximations.
Proceedings of the International Conference on Computer-Aided Design, 2018

AConFPGA: A Multiple-Output Boolean Function Approximation DSE Technique Targeting FPGAs.
Proceedings of the International Conference on Field-Programmable Technology, 2018

Optimistic regular expression matching on FPGAs for near-data processing.
Proceedings of the 14th International Workshop on Data Management on New Hardware, 2018

Architecture decomposition in system synthesis of heterogeneous many-core systems.
Proceedings of the 55th Annual Design Automation Conference, 2018

Invasive Computing for Mapping Parallel Programs to Many-Core Architectures.
Computer Architecture and Design Methodologies, Springer, ISBN: 978-981-10-7356-4, 2018

2017
Towards the co-evolution of industrial products and its production systems by combining models from development and hardware/software deployment in cyber-physical systems.
Prod. Eng., 2017

Self-Adaptive FPGA-Based Image Processing Filters Using Approximate Arithmetics.
Proceedings of the 20th International Workshop on Software and Compilers for Embedded Systems, 2017

Automatic Conversion of Simulink Models to SysteMoC Actor Networks.
Proceedings of the 20th International Workshop on Software and Compilers for Embedded Systems, 2017

Exploiting Predictability in Dynamic Network Communication for Power-Efficient Data Transmission in LTE Radio Systems.
Proceedings of the 20th International Workshop on Software and Compilers for Embedded Systems, 2017

Predictable run-time mapping reconfiguration for real-time applications on many-core systems.
Proceedings of the 25th International Conference on Real-Time Networks and Systems, 2017

High-Level Synthesis for Hardware/Software Co-Design of Distributed Smart Camera Systems.
Proceedings of the 11th International Conference on Distributed Smart Cameras, 2017

2016
Invasive computing for timing-predictable stream processing on MPSoCs.
it Inf. Technol., 2016

Providing security on demand using invasive computing.
it Inf. Technol., 2016

Design-Time/Run-Time Mapping of Security-Critical Applications in Heterogeneous MPSoCs.
Proceedings of the 19th International Workshop on Software and Compilers for Embedded Systems, 2016

ReOrder: Runtime datapath generation for high-throughput multi-stream processing.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2016

Hybrid energy-aware reconfiguration management on Xilinx Zynq SoCs.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2016

ActorX10: an actor library for X10.
Proceedings of the 6th ACM SIGPLAN Workshop on X10, 2016

Language and Compilation of Parallel Programs for *-Predictable MPSoC Execution Using Invasive Computing.
Proceedings of the 10th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2016

FAU: Fast and error-optimized approximate adder units on LUT-Based FPGAs.
Proceedings of the 2016 International Conference on Field-Programmable Technology, 2016

A LUT-Based Approximate Adder.
Proceedings of the 24th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2016

2015
Design Methodology and Run-Time Management for Predictable Many-Core Systems.
Proceedings of the 2015 IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing Workshops, 2015

Invasive computing for predictable stream processing: a simulation-based case study.
Proceedings of the 13th IEEE Symposium on Embedded Systems For Real-time Multimedia, 2015

2014
Self-Integration for Virtualization of Embedded Many-Core Systems.
Proceedings of the Eighth IEEE International Conference on Self-Adaptive and Self-Organizing Systems Workshops, 2014

Multi-objective distributed run-time resource management for many-cores.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

DAARM: Design-time application analysis and run-time mapping for predictable execution in many-core systems.
Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis, 2014

2013
Symbolic system-level design methodology for multi-mode reconfigurable systems.
Des. Autom. Embed. Syst., 2013

Game-theoretic analysis of decentralized core allocation schemes on many-core systems.
Proceedings of the Design, Automation and Test in Europe, 2013

2012
Systematic design of self-adaptive embedded systems with applications in image processing.
PhD thesis, 2012

Placing Multimode Streaming Applications on Dynamically Partially Reconfigurable Architectures.
Int. J. Reconfigurable Comput., 2012

Distributed self-organizing bandwidth allocation for priority-based bus communication.
Concurr. Comput. Pract. Exp., 2012

2011
Dynamic decentralized mapping of tree-structured applications on NoC architectures.
Proceedings of the NOCS 2011, 2011

Operational mode exploration for reconfigurable systems with multiple applications.
Proceedings of the 2011 International Conference on Field-Programmable Technology, 2011

Unifying Partitioning and Placement for SAT-Based Exploration of Heterogeneous Reconfigurable SoCs.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2011

Symbolic design space exploration for multi-mode reconfigurable systems.
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011

OrganicBus: Organic Self-organising Bus-Based Communication Systems.
Proceedings of the Organic Computing - A Paradigm Shift for Complex Systems, 2011

2010
Placing Streaming Applications with Similarities on Dynamically Partially Reconfigurable Architectures.
Proceedings of the ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, 2010

A Self-Organizing Distributed Reinforcement Learning Algorithm to Achieve Fair Bandwidth Allocation for Priority-Based Bus Communication.
Proceedings of the 13th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing Workshops, 2010

A Bus-Based SoC Architecture for Flexible Module Placement on Reconfigurable FPGAs.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010

Self-organizing Computer Vision for Robust Object Tracking in Smart Cameras.
Proceedings of the Autonomic and Trusted Computing - 7th International Conference, 2010

2009
Self-organizing Bandwidth Sharing in Priority-Based Medium Access.
Proceedings of the Third IEEE International Conference on Self-Adaptive and Self-Organizing Systems, 2009

Self-organizing multi-cue fusion for FPGA-based embedded imaging.
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009

CAN+: A new backward-compatible Controller Area Network (CAN) protocol with up to 16× higher data rates.
Proceedings of the Design, Automation and Test in Europe, 2009

2008
Co-design Architecture and Implementation for Point-Based Rendering on FPGAs.
Proceedings of the 19th IEEE/IFIP International Symposium on Rapid System Prototyping: RSP 2009, 2008

3D Person Tracking with a Color-Based Particle Filter.
Proceedings of the Robot Vision, Second International Workshop, 2008

A Sequential Learning Resource Allocation Network for Image Processing Applications.
Proceedings of the 8th International Conference on Hybrid Intelligent Systems (HIS 2008), 2008


  Loading...