Heba Khdr

Orcid: 0000-0003-0245-2062

According to our database1, Heba Khdr authored at least 38 papers between 2013 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
NPU-Accelerated Imitation Learning for Thermal Optimization of QoS-Constrained Heterogeneous Multi-Cores.
ACM Trans. Design Autom. Electr. Syst., January, 2024

2023
ATLAS: Aging-Aware Task Replication for Multicore Safety-Critical Systems.
Proceedings of the 29th IEEE Real-Time and Embedded Technology and Applications Symposium, 2023

MonTM: Monitoring-Based Thermal Management for Mixed-Criticality Systems.
Proceedings of the 14th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 12th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, 2023

Extended Abstract: Monitoring-based Thermal Management for Mixed-Criticality Systems.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Machine Learning-based Thermally-Safe Cache Contention Mitigation in Clustered Manycores.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Smart Detection of Obfuscated Thermal Covert Channel Attacks in Many-core Processors.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
TherMa-MiCs: Thermal-Aware Scheduling for Fault-Tolerant Mixed-Criticality Systems.
IEEE Trans. Parallel Distributed Syst., 2022

Power-Aware Checkpointing for Multicore Embedded Systems.
IEEE Trans. Parallel Distributed Syst., 2022

Thermal-Aware Standby-Sparing Technique on Heterogeneous Real-Time Embedded Systems.
IEEE Trans. Emerg. Top. Comput., 2022

An FPGA-based Approach to Evaluate Thermal and Resource Management Strategies of Many-core Processors.
ACM Trans. Archit. Code Optim., 2022

A Survey of Fault-Tolerance Techniques for Embedded Systems From the Perspective of Power, Energy, and Thermal Issues.
IEEE Access, 2022

Thermal- and Cache-Aware Resource Management based on ML- Driven Cache Contention Prediction.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

NPU-Accelerated Imitation Learning for Thermal- and QoS-Aware Optimization of Heterogeneous Multi-Cores.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
Long Short-Term Memory Neural Network-based Power Forecasting of Multi-Core Processors.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

SmartBoost: Lightweight ML-Driven Boosting for Thermally-Constrained Many-Core Processors.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
Combinatorial Auctions for Temperature-Constrained Resource Management in Manycores.
IEEE Trans. Parallel Distributed Syst., 2020

Comparative Framework for the Analysis of Thermal and Resource Management Algorithms for Multi-Core Architectures.
Proceedings of the 21st International Symposium on Quality Electronic Design, 2020

2019
Resource Management for Multicores to Optimize Performance under Temperature and Aging Constraints.
PhD thesis, 2019

Dynamic Guardband Selection: Thermal-Aware Optimization for Unreliable Multi-Core Systems.
IEEE Trans. Computers, 2019

Thermally Composable Hybrid Application Mapping for Real-Time Applications in Heterogeneous Many-Core Systems.
Proceedings of the IEEE Real-Time Systems Symposium, 2019

Smart Thermal Management for Heterogeneous Multicores.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
Aging-Aware Boosting.
IEEE Trans. Computers, 2018

Chapter Four - Dark Silicon Aware Resource Management for Many-Core Systems.
Adv. Comput., 2018

QoS-aware stochastic power management for many-cores.
Proceedings of the 55th Annual Design Automation Conference, 2018

Aging-constrained performance optimization for multi cores.
Proceedings of the 55th Annual Design Automation Conference, 2018

2017
Thermal Safe Power (TSP): Efficient Power Budgeting for Heterogeneous Manycore Systems in Dark Silicon.
IEEE Trans. Computers, 2017

Power Density-Aware Resource Management for Heterogeneous Tiled Multicores.
IEEE Trans. Computers, 2017

Scalable probabilistic power budgeting for many-cores.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
Dark silicon management: an integrated and coordinated cross-layer approach.
it Inf. Technol., 2016

Towards performance and reliability-efficient computing in the dark silicon era.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

2015
Dark Silicon: From Computation to Communication.
Proceedings of the 9th International Symposium on Networks-on-Chip, 2015

Thermal constrained resource management for mixed ILP-TLP workloads in dark silicon chips.
Proceedings of the 52nd Annual Design Automation Conference, 2015

New trends in dark silicon.
Proceedings of the 52nd Annual Design Automation Conference, 2015

seBoost: Selective boosting for heterogeneous manycores.
Proceedings of the 2015 International Conference on Hardware/Software Codesign and System Synthesis, 2015

2014
Peak Power Management for scheduling real-time tasks on heterogeneous many-core systems.
Proceedings of the 20th IEEE International Conference on Parallel and Distributed Systems, 2014

mDTM: Multi-objective dynamic thermal management for on-chip systems.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

TSP: Thermal Safe Power - Efficient power budgeting for many-core systems in dark silicon.
Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis, 2014

2013
Thermal management for dependable on-chip systems.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013


  Loading...