Hailong Jiao

Orcid: 0000-0002-2815-6168

According to our database1, Hailong Jiao authored at least 76 papers between 2008 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
CNN Accelerator at the Edge With Adaptive Zero Skipping and Sparsity-Driven Data Flow.
IEEE Trans. Circuits Syst. Video Technol., December, 2023

APCCAS 2022 Guest Editorial Special Issue Based on the 18th Asia Pacific Conference on Circuits and Systems.
IEEE Trans. Circuits Syst. I Regul. Pap., November, 2023

C<sup>3</sup>MLS: An Ultra-Wide-Range Energy-Efficient Level Shifter With CCLS/CMLS Hybrid Structure.
IEEE J. Solid State Circuits, October, 2023

LightSeizureNet: A Lightweight Deep Learning Model for Real-Time Epileptic Seizure Detection.
IEEE J. Biomed. Health Informatics, April, 2023

Sagitta: An Energy-Efficient Sparse 3D-CNN Accelerator for Real-Time 3-D Understanding.
IEEE Internet Things J., 2023

An Energy-Efficient 3D Point Cloud Neural Network Accelerator With Efficient Filter Pruning, MLP Fusion, and Dual-Stream Sampling.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

2022
An Ultralow-Power 65-nm Standard Cell Library for Near/Subthreshold Digital Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2022

A Bypassable Scan Flip-Flop for Low Power Testing With Data Retention Capability.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

A High-Efficiency Segmented Reconfigurable Cyclic Shifter for 5G QC-LDPC Decoder.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

An Ultra-Low-Voltage Bit-Interleaved Synthesizable 13T SRAM Circuit.
IEEE J. Solid State Circuits, 2022

Receiver Design With an Adjustable Energy-Signal-Quality Tradeoff for IoT Networks.
IEEE Internet Things J., 2022

Guest Editors' Introduction: Special Issue on Design and Test of Multidie Packages.
IEEE Des. Test, 2022

A Karnaugh Map Approximate Adder With Intrinsic Error Compensation.
Proceedings of the IEEE Asia Pacific Conference on Circuit and Systems, 2022

Ternary In-Memory MAC Accelerator With Dual-6T SRAM Cell for Deep Neural Networks.
Proceedings of the IEEE Asia Pacific Conference on Circuit and Systems, 2022

An LUT-Based Multiplier Array for Systolic Array-Based Convolutional Neural Network Accelerator.
Proceedings of the IEEE Asia Pacific Conference on Circuit and Systems, 2022

2021
Converter-Free Power Delivery Using Voltage Stacking for Near/Subthreshold Operation.
IEEE Trans. Very Large Scale Integr. Syst., 2021

Pseudo Multi-Port SRAM Circuit for Image Processing in Display Drivers.
IEEE Trans. Circuits Syst. Video Technol., 2021

A 3.36-GHz Locking-Tuned Type-I Sampling PLL With -78.6-dBc Reference Spur Merging Single-Path Reference-Feedthrough-Suppression and Narrow-Pulse-Shielding Techniques.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

Receiver-Sensitivity Control for Energy-Efficient IoT Networks.
IEEE Commun. Lett., 2021

A Hybrid Digital Transmitter Architecture for High- Efficiency and High-Speed Applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A Pull-Up Adaptive Sense Amplifier Based on Dual-Gate IGZO TFTs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Segmented Reconfigurable Cyclic Shifter for QC-LDPC Decoder.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Insights of 3D Input CNN in EEG-based Emotion Recognition.
Proceedings of the 43rd Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2021

An Energy-Efficient Low-Latency 3D-CNN Accelerator Leveraging Temporal Locality, Full Zero-Skipping, and Hierarchical Load Balance.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Efficient Zero-Activation-Skipping for On-Chip Low-Energy CNN Acceleration.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021

2020
Monolithic 3D Carbon Nanotube Memory for Enhanced Yield and Integration Density.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020

A -80 dB PSRR 4.99 ​ppm/°C TC bandgap reference with nonlinear compensation.
Microelectron. J., 2020

A Compensation System using Analog Voltage Adder with Continuous Output for AMOLED Display Drivers.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

A Compact Low-Power Data Retention Flip-Flop with Easy-Sleep Mode.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Trading Sensitivity for Power in an IEEE 802.15.4 Conformant Adequate Demodulator.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
Voltage Stacked Design of a Microcontroller for Near/Sub-threshold Operation.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

A Compact Low-Voltage Segmented D/A Converter with Adjustable Gamma Coefficient for AMOLED Displays.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Low Power Karnaugh Map Approximate Adder for Error Compensation in Loop Accumulations.
Proceedings of the International Conference on IC Design and Technology, 2019

A 12T Low-Power Standard-Cell Based SRAM Circuit for Ultra-Low-Voltage Operations.
Proceedings of the International Conference on IC Design and Technology, 2019

Standard Cell Optimization for Ultra-Low-Voltage Digital Circuits.
Proceedings of the International Conference on IC Design and Technology, 2019

Statistical Modeling and Design of a 16nm 9T SRAM Cell Considering Post-Synthesis Removal of Metallic Carbon-Nanotubes.
Proceedings of the International Conference on Electronics, Information, and Communication, 2019

Trading Digital Accuracy for Power in an RSSI Computation of a Sensor Network Transceiver.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
Crosstalk-noise-aware bus coding with low-power ground-gated repeaters.
Int. J. Circuit Theory Appl., 2018

Understanding the Impact of Circuit-Level Inaccuracy on Sensor Network Performance.
Proceedings of the 15th ACM International Symposium on Performance Evaluation of Wireless Ad Hoc, 2018

On-Chip Toggle Generators to Provide Realistic Conditions during Test of Digital 2D-SoCs and 3D-SICs.
Proceedings of the IEEE International Test Conference, 2018

Achieving Low Power Classification with Classifier Ensemble.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Low power latch based design with smart retiming.
Proceedings of the 19th International Symposium on Quality Electronic Design, 2018

Multi-Bit Pulsed-Latch Based Low Power Synchronous Circuit Design.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

IEEE Std P1838's flexible parallel port and its specification with Google's protocol buffers.
Proceedings of the 23rd IEEE European Test Symposium, 2018

Datawidth-Aware Energy-Efficient Multipliers: A Case for Going Sign Magnitude.
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018

2017
Embedded toggle generator to control the switching activity during test of digital 2D-SoCs and 3D-SICs.
Proceedings of the 27th International Symposium on Power and Timing Modeling, 2017

Reconfigurable Support Vector Machine Classifier with Approximate Computing.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

An analytical model for interdependent setup/hold-time characterization of flip-flops.
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017

An improved NLOS error elimination algorithm for indoor ultra-wideband localization.
Proceedings of the 2017 IEEE SENSORS, Glasgow, United Kingdom, October 29, 2017

Metallic-carbon-nanotube-removal tolerant SRAM cell with 9 transistors.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

2016
Low power and robust memory circuits with asymmetrical ground gating.
Microelectron. J., 2016

Variability-aware 7T SRAM circuit with low leakage high data stability SLEEP mode.
Integr., 2016

Variations-tolerant 9T SRAM circuit with robust and low leakage SLEEP mode.
Proceedings of the 22nd IEEE International Symposium on On-Line Testing and Robust System Design, 2016

IEEE Std P1838: DfT standard-under-development for 2.5D-, 3D-, and 5.5D-SICs.
Proceedings of the 21th IEEE European Test Symposium, 2016

2015
A Novel Robust and Low-Leakage SRAM Cell With Nine Carbon Nanotube Transistors.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Memristor based computation-in-memory architecture for data-intensive applications.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

2014
Mode transition timing and energy overhead analysis in noise-aware MTCMOS circuits.
Microelectron. J., 2014

Low-leakage 9-CN-MOSFET SRAM cell with enhanced read and write voltage margins.
Proceedings of the 26th International Conference on Microelectronics, 2014

2013
Reactivation Noise Suppression With Sleep Signal Slew Rate Modulation in MTCMOS Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Characterization of mode transition timing overhead for net energy savings in low-noise MTCMOS circuits.
Proceedings of the 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, 2013

An electrostatically-driven and capacitively-sensed differential lateral resonant pressure microsensor.
Proceedings of the 8th IEEE International Conference on Nano/Micro Engineered and Molecular Systems, 2013

Ground gated 8T SRAM cells with enhanced read and hold data stability.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2013

A novel 6T SRAM cell with asymmetrically gate underlap engineered FinFETs for enhanced read data stability and write ability.
Proceedings of the International Symposium on Quality Electronic Design, 2013

Low-leakage hybrid FinFET SRAM cell with asymmetrical gate overlap / underlap bitline access transistors for enhanced read data stability.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

2012
Threshold Voltage Tuning for Faster Activation With Lower Noise in Tri-Mode MTCMOS Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Multi-phase sleep signal modulation for mode transition noise mitigation in MTCMOS circuits.
Proceedings of the International SoC Design Conference, 2012

Full-custom design of low leakage data preserving ground gated 6T SRAM cells to facilitate single-ended write operations.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

2011
Ground Bouncing Noise Suppression Techniques for Data Preserving Sequential MTCMOS Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Noise-Aware Data Preserving Sequential MTCMOS Circuits with Dynamic Forward Body Bias.
J. Circuits Syst. Comput., 2011

Sleep signal slew rate modulation for mode transition noise suppression in ground gated integrated circuits.
Proceedings of the IEEE 24th International SoC Conference, SOCC 2011, Taipei, Taiwan, 2011

2010
Ground-Bouncing-Noise-Aware Combinational MTCMOS Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

Low-Leakage and Compact Registers with Easy-Sleep Mode.
J. Low Power Electron., 2010

Tri-mode Operation for Noise Reduction and Data Preservation in Low-Leakage Multi-Threshold CMOS Circuits.
Proceedings of the VLSI-SoC: Forward-Looking Trends in IC and Systems Design, 2010

Reactivation noise suppression with threshold voltage tuning in sequential MTCMOS circuits.
Proceedings of the 18th IEEE/IFIP VLSI-SoC 2010, 2010

Smooth awakenings: Reactivation noise suppressed low-leakage and robust MTCMOS flip-flops.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

2008
Cellwise OPC Based on Reduced Standard Cell Library.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008


  Loading...