Hiroki Ishikuro

Orcid: 0000-0002-9919-9923

According to our database1, Hiroki Ishikuro authored at least 122 papers between 2004 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
A 4.6-400 K Functional Ringamp-Based 250 MS/s 12 b Pipelined ADC With PVT-Robust Unity-Gain-Frequency-Aware Bias Calibration.
IEEE J. Solid State Circuits, March, 2024

Design and analysis of a high-speed low-power comparator with regeneration enhancement and through current suppression techniques from 4 K to 300 K in 65-nm Cryo-CMOS.
Microelectron. J., February, 2024

2023
A Cryogenic 12 GHz Frequency Doubler With Temperature Compensation for Trapped-Ion Quantum Computer.
IEEE Trans. Circuits Syst. II Express Briefs, October, 2023

An Active Slew Rate Control Gate Driver IC With Robust Discrete-Time Feedback Technique for 600-V Superjunction MOSFETs.
IEEE J. Solid State Circuits, February, 2023

A High-Speed Low-Power Two-Stage Comparator with Regeneration Enhancement and Through Current Suppression Techniques.
Proceedings of the 66th IEEE International Midwest Symposium on Circuits and Systems, 2023

An Oscillator with Inductively Coupled Resonators for Readout of Stretchable Resistive Strain Sensor.
Proceedings of the 66th IEEE International Midwest Symposium on Circuits and Systems, 2023

A 4.6K to 400K Functional PVT-Robust Ringamp-Based 250MS/s 12b Pipelined ADC with Pole-Aware Bias Calibration.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023

2022
Optimization of Gate Voltage in Capacitive DC-DC Converters for Thermoelectric Energy Harvesting.
IEEE Trans. Very Large Scale Integr. Syst., 2022

T/R Switch Composed of Three HV-MOSFETs With 12.1-μW Consumption That Enables Per-Channel Self-Loopback AC Tests and -18.1-dB Switching Noise Suppression for 3-D Ultrasound Imaging With 3072-Ch Transceiver.
IEEE Trans. Very Large Scale Integr. Syst., 2022

A Dual-Mode 2: 1 Switched Capacitor Converter with >65% Efficiency over 1000x Load Current Range and One Clock Cycle Transient Response.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

Enhanced Drain Current in Transient Mode due to Long Ionization Time of Shallow Impurities at 4 K in 65-nm bulk Cryo CMOS Transistors.
Proceedings of the Device Research Conference, 2022

2021
A Fast Wake-Up and High Accuracy Sensor Interface by Synchronous Sampling with Power-Efficient Switching Regulator.
Proceedings of the 64th IEEE International Midwest Symposium on Circuits and Systems, 2021

Robust Readout Circuit with Leakage Current Cancellation Technique for Stretchable Touch Sensors.
Proceedings of the 28th IEEE International Conference on Electronics, 2021

An Amp-Less Time-Domain AC Bridge for Impedance Spectroscopy with 1-bit $\Delta \Sigma$ DAC.
Proceedings of the 28th IEEE International Conference on Electronics, 2021

A Switched-Capacitor DC-DC Converter with >77.3% Efficiency and 80 ns Active Transient Response in 40 µA - 4 mA Load Current Range.
Proceedings of the 47th ESSCIRC 2021, 2021

An 18 Bit Time-to-Digital Converter Design with Large Dynamic Range and Automated Multi-Cycle Concept.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

An up to 35 dBc/Hz Phase Noise Improving Design Methodology for Differential-Ring-Oscillators Applied in Ultra-Low Power Systems.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Gate Voltage Optimization in Capacitive DC-DC Converters for Thermoelectric Energy Harvesting.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
A Wide Input-Range, Low-Power and Highly Flexible 18 Bit Time-to-Digital Converter with Compact Differential Circuit Topology.
Proceedings of the 63rd IEEE International Midwest Symposium on Circuits and Systems, 2020

A Discrete-Time Model for Frequency Modulated Charge Pumps with Synchronized Controller.
Proceedings of the 63rd IEEE International Midwest Symposium on Circuits and Systems, 2020

A Wide Range and High Accuracy Sensor Interface with Switching Regulator for Coin-Cell Powered Tiny Wireless Sensor Node.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

A 0.12V Fully Integrated Charge Pump with Gate Voltage Optimization for Energy Harvesting Applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

A High-Resolution Oscillator Based Resistance-to-Digital Converter with Non-Linearity Canceling Feedback by ΔΣ Modulated Variable Resistor.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

A Digital-to-Resistance Converter with an Automatic Offset Calibration Method for Evaluating Dynamic Performance of Resistive Sensor Readout Circuits.
Proceedings of the 2020 IEEE International Instrumentation and Measurement Technology Conference, 2020

A Linearity Testing of Cascaded Analog Mixed-Signal Blocks Using SEIR Method.
Proceedings of the 2020 IEEE International Instrumentation and Measurement Technology Conference, 2020

A Highly Linear Amp-Less Interface Circuit for Capacitive Sensors with ΔΣ C-DAC.
Proceedings of the 2020 IEEE Asia Pacific Conference on Circuits and Systems, 2020

2019
Digital Amplifier: A Power-Efficient and Process-Scaling Amplifier for Switched Capacitor Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Low-Power and ppm-Level Detection of Gas Molecules by Integrated Metal Nanosheets.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019

Interface with Opamp Output-Impedance Calibration Technique for a Large Integrated 2-D Resistive Sensor Array.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

A Differential-Ring-Oscillator with up to 35 dBc/Hz Phase Noise Improving Amplitude Feedback Loop for Ultra Low Power Systems.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

High-Resolution Auto-Balancing Wheatstone-Bridge with Successive Approximation of ΔΣ-Modulated Digitally Controlled Variable Resistor.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

A High Accuracy Opamp-less Interface Circuit for 2-D Cross-Point Resistive Sensor Array with Switch Resistance Calibration.
Proceedings of the 2019 IEEE Asia Pacific Conference on Circuits and Systems, 2019

2018
A 13.56-MHz Wireless Power Transfer System With Enhanced Load-Transient Response and Efficiency by Fully Integrated Wireless Constant-Idle-Time Control for Biomedical Implants.
IEEE J. Solid State Circuits, 2018

A High-Resolution Time-Based Resistance-to-Digital Converter with TDC and Counter.
Proceedings of the IEEE 61st International Midwest Symposium on Circuits and Systems, 2018

Design of resource sharing reconfigurable ΔΣ SAR-ADC.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
Distortion and its suppression in low-power delta-sigma modulator.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

2016
A 280 Mb/s In-Vehicle LAN System Using Electromagnetic Clip Connector and High-EMC Transceiver.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

An Inductively Powered Wireless Solid-State Drive System With Merged Error Correction of High-Speed Wireless Data Links and NAND Flash Memories.
IEEE J. Solid State Circuits, 2016

A Near-Optimum 13.56 MHz CMOS Active Rectifier With Circuit-Delay Real-Time Calibrations for High-Current Biomedical Implants.
IEEE J. Solid State Circuits, 2016

A 24 mW 5.7 Gbps Dual Frequency Conversion Demodulator for Impulse Radio with the First Sidelobe.
IEICE Trans. Electron., 2016

A 12.5Gbps CDR with Differential to Common Converting Edge Detector for the Wired and Wireless Serial Link.
IEICE Trans. Electron., 2016

A wireless power transfer system with enhanced response and efficiency by fully-integrated fast-tracking wireless constant-idle-time control for implants.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

A 24mW 5.5Gbps dual frequency conversion demodulator for impulse-radio with first sidelobe.
Proceedings of the 2016 IEEE Radio and Wireless Symposium, 2016

A programmable ΔΣ SAR-ADC with charge shuttling technique.
Proceedings of the International SoC Design Conference, 2016

Low power DT delta-sigma modulator with ring amplifier SC-integrator.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

2015
An 8 bit 0.3-0.8 V 0.2-40 MS/s 2-bit/Step SAR ADC With Successively Activated Threshold Configuring Comparators in 40 nm CMOS.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Analysis and Design of an 8.5-Gb/s/Link Multi-Drop Bus Using Energy-Equipartitioned Transmission Line Couplers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

Dynamic Architecture and Frequency Scaling in 0.8-1.2 GS/s 7 b Subranging ADC.
IEEE J. Solid State Circuits, 2015

Inductively-powered wireless solid-state drive (SSD) system with merged error correction of high-speed non-contact data links and NAND flash memory.
Proceedings of the Symposium on VLSI Circuits, 2015

Fence loaded antenna coupler for high-band UWB with steep cutoff characteristics.
Proceedings of the 2015 IEEE Radio and Wireless Symposium, 2015

I/Q mismatch compensation ΔΣ modulator using ternary capacitor rotation technique.
Proceedings of the ESSCIRC Conference 2015, 2015

A 1.6 GS/s 3.17 mW 6-b passive pipelined binary-search ADC with memory effect canceller and reference voltage calibration.
Proceedings of the ESSCIRC Conference 2015, 2015

A low EMI SIDO wireless power transfer system with 10μsec response time.
Proceedings of the ESSCIRC Conference 2015, 2015

A near-optimum 13.56 MHz active rectifier with circuit-delay real-time calibrations for high-current biomedical implants.
Proceedings of the 2015 IEEE Custom Integrated Circuits Conference, 2015

Dual-output wireless power delivery system for small size large volume wireless memory card.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
A 0.15-mm-Thick Noncontact Connector for MIPI Using a Vertical Directional Coupler.
IEEE J. Solid State Circuits, 2014

7-bit 0.8-1.2GS/s Dynamic Architecture and Frequency Scaling subrange ADC with binary-search/flash Live Configuring Technique.
Proceedings of the Symposium on VLSI Circuits, 2014

30.6 An electromagnetic clip connector for in-vehicle LAN to reduce wire harness weight by 30%.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

A 13b SAR ADC with eye-opening VCO based comparator.
Proceedings of the ESSCIRC 2014, 2014

A SAW-less LTE transmitter with high-linearity modulator using BPF-based I/Q summing.
Proceedings of the ESSCIRC 2014, 2014

An 8b extremely area efficient threshold configuring SAR ADC with source voltage shifting technique.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
A 12-Gb/s Non-Contact Interface With Coupled Transmission Lines.
IEEE J. Solid State Circuits, 2013

A 0.5-V 5.2-fJ/Conversion-Step Full Asynchronous SAR ADC With Leakage Power Reduction Down to 650 pW by Boosted Self-Power Gating in 40-nm CMOS.
IEEE J. Solid State Circuits, 2013

A 4-10 bit, 0.4-1 V Power Supply, Power Scalable Asynchronous SAR-ADC in 40 nm-CMOS with Wide Supply Voltage Range SAR Controller.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2013

An Adaptive DAC Settling Waiting Time Optimized Ultra Low Voltage Asynchronous SAR ADC in 40 nm CMOS.
IEICE Trans. Electron., 2013

A 0.8V 1.1pJ/bit inductive-coupling receiver with pulse extracting clock recovery circuit and intermittently operating LNA.
Proceedings of the 2013 IEEE Radio and Wireless Symposium, 2013

3D clock distribution using vertically/horizontally-coupled resonators.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

A 0.15mm-thick non-contact connector for MIPI using vertical directional coupler.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

A voltage scaling 0.25-1.8 V delta-sigma modulator with inverter-opamp self-configuring amplifier.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

A 1.26mW/Gbps 8 locking cycles versatile all-digital CDR with TDC combined DLL.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

A 0.35-0.8V 8b 0.5-35MS/s 2bit/step extremely-low power SAR ADC.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

A 12.5Gb/s/link non-contact multi drop bus system with impedance-matched Transmission Line Couplers and Dicode partial-response channel transceivers.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
A 65fJ/b Inter-Chip Inductive-Coupling Data Transceivers Using Charge-Recycling Technique for Low-Power Inter-Chip Communication in 3-D System Integration.
IEEE Trans. Very Large Scale Integr. Syst., 2012

1-W 3.3-16.3-V Boosting Wireless Power Transfer Circuits With Vector Summing Power Controller.
IEEE J. Solid State Circuits, 2012

A 0.5 V 1.1 MS/sec 6.3 fJ/Conversion-Step SAR-ADC With Tri-Level Comparator in 40 nm CMOS.
IEEE J. Solid State Circuits, 2012

Simultaneous 6-Gb/s Data and 10-mW Power Transmission Using Nested Clover Coils for Noncontact Memory Card.
IEEE J. Solid State Circuits, 2012

Rotary Coding for Power Reduction and S/N Improvement in Inductive-Coupling Data Communication.
IEEE J. Solid State Circuits, 2012

A 0.025-0.45 W 60%-Efficiency Inductive-Coupling Power Transceiver With 5-Bit Dual-Frequency Feedforward Control for Non-Contact Memory Cards.
IEEE J. Solid State Circuits, 2012

A 10-Bit 80-MS/s Decision-Select Successive Approximation TDC in 65-nm CMOS.
IEEE J. Solid State Circuits, 2012

High-Frequency Precise Characterization of Intrinsic FinFET Channel.
IEICE Trans. Electron., 2012

6 W/25 mm<sup>2</sup> Wireless Power Transmission for Non-contact Wafer-Level Testing.
IEICE Trans. Electron., 2012

A 0.7V 4.1mW 850Mbps/ch inductive-coupling transceiver with adaptive pulse width controller in 65nm CMOS.
Proceedings of the 2012 IEEE Radio and Wireless Symposium, 2012

A 7Gb/s/link non-contact memory module for multi-drop bus system using energy-equipartitioned coupled transmission line.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

Voltage-boosting wireless power delivery system with fast load tracker by ΔΣ-modulated sub-harmonic resonant switching.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

A 2Gb/s 150mW UWB direct-conversion coherent transceiver with IQ-switching carrier recovery scheme.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

An 8bit 0.35-0.8V 0.5-30MS/s 2bit/step SAR ADC with wide range threshold configuring comparator.
Proceedings of the 38th European Solid-State Circuit conference, 2012

A 100Mb/s 13.7pJ/bit DC-960MHz band plesiochronous IR-UWB receiver with costas-loop based synchronization scheme in 65nm CMOS.
Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, 2012

0.6 - 3.6 GHz wideband operation with high phase resolution On-Chip Network Analyzer.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

2011
Analysis and Techniques for Mitigating Interference From Power/Signal Lines and to SRAM Circuits in CMOS Inductive-Coupling Link for Low-Power 3-D System Integration.
IEEE Trans. Very Large Scale Integr. Syst., 2011

A 14-GHz AC-Coupled Clock Distribution Scheme With Phase Averaging Technique Using Single LC-VCO and Distributed Phase Interpolators.
IEEE Trans. Very Large Scale Integr. Syst., 2011

A 12Gb/s non-contact interface with coupled transmission lines.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

6W/25mm<sup>2</sup> inductive power transfer for non-contact wafer-level testing.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

A 40nm 50S/s-8MS/s ultra low voltage SAR ADC with timing optimized asynchronous clock generator.
Proceedings of the 37th European Solid-State Circuits Conference, 2011

A 0.6V noise rejectable all-digital CDR with free running TDC for a pulse-based inductive-coupling interface.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2011

1W 3.3V-to-16.3V boosting wireless power transfer circuits with vector summing power controller.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2011

2010
Modeling and Experimental Verification of Misalignment Tolerance in Inductive-Coupling Inter-Chip Link for Low-Power 3-D System Integration.
IEEE Trans. Very Large Scale Integr. Syst., 2010

47% Power Reduction and 91% Area Reduction in Inductive-Coupling Programmable Bus for NAND Flash Memory Stacking.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

2 Gb/s 15 pJ/b/chip Inductive-Coupling Programmable Bus for NAND Flash Memory Stacking.
IEEE J. Solid State Circuits, 2010

A 4-Gbps Quasi-Millimeter-Wave Transmitter in 65 nm CMOS and a Fast Carrier and Symbol Timing Recovery Scheme.
IEICE Trans. Electron., 2010

Wireless proximity interfaces with a pulse-based inductive coupling technique.
IEEE Commun. Mag., 2010

A 2.5Gb/s/ch 4PAM inductive-coupling transceiver for non-contact memory card.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

A 0.5V 65nm-CMOS single phase clocked bootstrapped switch with rise time accelerator.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

A 0.5V 6-bit scalable phase interpolator.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

0.5 V multi-phase digital controlled oscillator with smooth phase transition circuit.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

2009
A High-Speed Inductive-Coupling Link With Burst Transmission.
IEEE J. Solid State Circuits, 2009

A 750 Mb/s, 12 pJ/b, 6-to-10 GHz CMOS IR-UWB Transmitter With Embedded On-Chip Antenna.
IEEE J. Solid State Circuits, 2009

A 2Gb/s 15pJ/b/chip Inductive-Coupling programmable bus for NAND Flash memory stacking.
Proceedings of the IEEE International Solid-State Circuits Conference, 2009

47% power reduction and 91% area reduction in inductive-coupling programmable bus for NAND flash memory stacking.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2009

A wireless real-time on-chip bus trace system.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

2008
A 0.14 pJ/b Inductive-Coupling Transceiver With Digitally-Controlled Precise Pulse Shaping.
IEEE J. Solid State Circuits, 2008

Constant Magnetic Field Scaling in Inductive-Coupling Data Link.
IEICE Trans. Electron., 2008

An 11Gb/s Inductive-Coupling Link with Burst Transmission.
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

2007
An Outside-Rail Opamp Design Relaxing Low-Voltage Constraint on Future Scaled Transistors.
IEICE Trans. Electron., 2007

A 0.14pJ/b Inductive-Coupling Inter-Chip Data Transceiver with Digitally-Controlled Precise Pulse Shaping.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

An Attachable Wireless Chip Access Interface for Arbitrary Data Rate Using Pulse-Based lnductive-Coupling through LSI Package.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

A 750Mb/s 12pJ/b 6-to-10GHz Digital UWB Transmitter.
Proceedings of the IEEE 2007 Custom Integrated Circuits Conference, 2007

Wideband Inductive-coupling Interface for High-performance Portable System.
Proceedings of the IEEE 2007 Custom Integrated Circuits Conference, 2007

2006
Compact outside-rail circuit structure by single-cascode two-transistor topology.
Proceedings of the IEEE 2006 Custom Integrated Circuits Conference, 2006

2005
A 2.4-GHz Temperature-Compensated CMOS LC-VCO for Low Frequency Drift Low-Power Direct-Modulation GFSK Transmitters.
IEICE Trans. Electron., 2005

A 1.2-V CMOS complex bandpass filter with a tunable center frequency.
Proceedings of the 31st European Solid-State Circuits Conference, 2005

A phase noise minimization of CMOS VCOs over wide tuning range and large PVT variations.
Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005

2004
A temperature-compensated CMOS LC-VCO enabling the direct modulation architecture in 2.4GHz GFSK transmitter.
Proceedings of the IEEE 2004 Custom Integrated Circuits Conference, 2004


  Loading...