Srivaths Ravi

Orcid: 0000-0002-1306-2361

Affiliations:
  • Texas Instruments Bangalore, India


According to our database1, Srivaths Ravi authored at least 114 papers between 1998 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Site-to-Site Variation in Analog Multisite Testing: A Survey on Its Detection and Correction.
IEEE Des. Test, October, 2023

A Weighted-Bin Difference Method for Issue Site Identification in Analog and Mixed-Signal Multi-Site Testing.
J. Electron. Test., February, 2023

2022
A Polynomial Transform Method for Hardware Systematic Error Identification and Correction in Semiconductor Multi-Site Testing.
J. Electron. Test., December, 2022

The Least-Squares Approach to Systematic Error Identification and Calibration in Semiconductor Multisite Testing.
Proceedings of the 40th IEEE VLSI Test Symposium, 2022

Optimal Order Polynomial Transformation for Calibrating Systematic Errors in Multisite Testing.
Proceedings of the IEEE International Test Conference, 2022

Graph Theory Approach for Multi-site ATE Board Parameter Extraction.
Proceedings of the IEEE European Test Symposium, 2022

Cross-Correlation Approach to Detecting Issue Test Sites in Massive Parallel Testing.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2022

2021
Detection of Site to Site Variations From Volume Measurement Data in Multisite Semiconductor Testing.
IEEE Trans. Instrum. Meas., 2021

Systematic Hardware Error Identification and Calibration for Massive Multisite Testing.
Proceedings of the IEEE International Test Conference, 2021

An Ordinal Optimization-Based Approach To Die Distribution Estimation For Massive Multi-site Testing Validation: A Case Study.
Proceedings of the 26th IEEE European Test Symposium, 2021

Massive Multisite Variability-Aware Die Distribution Estimation for Analog/Mixed-Signal Circuits Test Validation.
Proceedings of the 16th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, 2021

2020
Quantile - Quantile Fitting Approach to Detect Site to Site Variations in Massive Multi-site Testing.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020

2017
Foreword.
Proceedings of the 35th IEEE VLSI Test Symposium, 2017

Demystifying automotive safety and security for semiconductor developer.
Proceedings of the IEEE International Test Conference, 2017

2015
Tutorial T2: Validation and Debug of Security and Trust Issues in Embedded Systems.
Proceedings of the 28th International Conference on VLSI Design, 2015

2014
Tutorial T3A: Testing Low-Power Integrated Circuits: Challenges, Solutions, and Industry Practices.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014

At-speed capture power reduction using layout-aware granular clock gate enable controls.
Proceedings of the 2014 International Test Conference, 2014

Methodology for Early RTL Testability and Coverage Analysis and Its Application to Industrial Designs.
Proceedings of the 23rd IEEE Asian Test Symposium, 2014

2011
Design Techniques with Multiple Scan Compression CoDecs for Low Power and High Quality Scan Test.
J. Low Power Electron., 2011

Multi-CoDec Configurations for Low Power and High Quality Scan Test.
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011

2010
A generic low power scan chain wrapper for designs using scan compression.
Proceedings of the 28th IEEE VLSI Test Symposium, 2010

Methodology for early and accurate test power estimation at RTL.
Proceedings of the 2011 IEEE International Test Conference, 2010

2009
Test Power Reduction Using Integrated Scan Cell and Test Vector Reordering Techniques on Linear Scan and Double Tree Scan Architectures.
J. Low Power Electron., 2009

2008
Systematic Software-Based Self-Test for Pipelined Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Low Power Test for Nanometer System-on-Chips (SoCs).
J. Low Power Electron., 2008

A Regression Based Technique for ATE-Aware Test Data Volume Estimation of System-on-Chips.
Proceedings of the 26th IEEE VLSI Test Symposium (VTS 2008), April 27, 2008

Variability-Tolerant Register-Transfer Level Synthesis.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

Power Analysis and Reduction Techniques for Transition Fault Testing.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

2007
Configuration and Extension of Embedded Processors to Optimize IPSec Protocol Execution.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Aiding Side-Channel Attacks on Cryptographic Software With Satisfiability-Based Analysis.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Generation of Heterogeneous Distributed Architectures for Memory-Intensive Applications Through High-Level Synthesis.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Exploring Software Partitions for Fast Security Processing on a Multiprocessor Mobile SoC.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Architectural Support for Run-Time Validation of Program Data Properties.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Hybrid Architectures for Efficient and Secure Face Authentication in Embedded Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Energy-optimizing source code transformations for operating system-driven embedded software.
ACM Trans. Embed. Comput. Syst., 2007

A Synthesis Methodology for Hybrid Custom Instruction and Coprocessor Generation for Extensible Processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Hybrid Simulation for Energy Estimation of Embedded Software.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Automated Energy/Performance Macromodeling of Embedded Software.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Tutorial T1: Designing Secure SoCs.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Power-aware test: Challenges and solutions.
Proceedings of the 2007 IEEE International Test Conference, 2007

Methodology for low power test pattern generation using activity threshold control logic.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

Energy and execution time analysis of a software-based trusted platform module.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2006
A Scalable Synthesis Methodology for Application-Specific Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2006

Hardware-Assisted Run-Time Monitoring for Secure Program Execution on Embedded Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2006

A Study of the Energy Consumption Characteristics of Cryptographic Algorithms and Security Protocols.
IEEE Trans. Mob. Comput., 2006

RTL-Aware Cycle-Accurate Functional Power Estimation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Application-specific heterogeneous multiprocessor synthesis using extensible processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Test-Volume Reduction in Systems-on-a-Chip Using Heterogeneous and Multilevel Compression Techniques.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Satisfiability-based test generation for nonseparable RTL controller-datapath circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Use of Computation-Unit Integrated Memories in High-Level Synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Hybrid Custom Instruction and Co-Processor Synthesis Methodology for Extensible Processors.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

Impact of Configurability and Extensibility on IPSec Protocol Execution on Embedded Processors.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

Safe Java Native Interface.
Proceedings of the 2006 IEEE International Symposium on Secure Software Engineering, 2006

Active Learning Driven Data Acquisition for Sensor Networks.
Proceedings of the 11th IEEE Symposium on Computers and Communications (ISCC 2006), 2006

Satisfiability-based framework for enabling side-channel attacks on cryptographic software.
Proceedings of the Conference on Design, Automation and Test in Europe: Designers' Forum, 2006

Architectures for efficient face authentication in embedded systems.
Proceedings of the Conference on Design, Automation and Test in Europe: Designers' Forum, 2006

Systematic software-based self-test for pipelined processors.
Proceedings of the 43rd Design Automation Conference, 2006

Software architecture exploration for high-performance security processing on a multiprocessor mobile SoC.
Proceedings of the 43rd Design Automation Conference, 2006

Architectural support for safe software execution on embedded processors.
Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, 2006

2005
Generation of distributed logic-memory architectures through high-level synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

Synthesis of Application-Specific Heterogeneous Multiprocessor Architectures Using Extensible Processors.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

Heterogeneous and Multi-Level Compression Techniques for Test Volume Reduction in Systems-on-Chip.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

Hardware Accelerated Power Estimation.
Proceedings of the 2005 Design, 2005

Secure Embedded Processing through Hardware-Assisted Run-Time Monitoring.
Proceedings of the 2005 Design, 2005

Hybrid simulation for embedded software energy estimation.
Proceedings of the 42nd Design Automation Conference, 2005

Efficient fingerprint-based user authentication for embedded systems.
Proceedings of the 42nd Design Automation Conference, 2005

Power emulation: a new paradigm for power estimation.
Proceedings of the 42nd Design Automation Conference, 2005

Enhancing security through hardware-assisted run-time validation of program data properties.
Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2005

Eliminating memory bottlenecks for a JPEG encoder through distributed logic-memory architecture and computation-unit integrated memory.
Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005

SECA: security-enhanced communication architecture.
Proceedings of the 2005 International Conference on Compilers, 2005

2004
Security in embedded systems: Design challenges.
ACM Trans. Embed. Comput. Syst., 2004

Custom-instruction synthesis for extensible-processor platforms.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

A hybrid energy-estimation technique for extensible processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Tamper Resistance Mechanisms for Secure, Embedded Systems.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Energy-Optimizing Source Code Transformations for OS-driven Embedded Software.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Power estimation for cycle-accurate functional descriptions of hardware.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

High-level synthesis using computation-unit integrated memories.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

Security as a new dimension in embedded system design.
Proceedings of the 41th Design Automation Conference, 2004

2003
Efficient RTL Power Estimation for Large Designs.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003

Embedding Security in Wireless Embedded Systems.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003

Analyzing the energy consumption of security protocols.
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003

Test Generation for Non-separable RTL Controller-datapath Circuits using a Satisfiability based Approach.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003

A Scalable Application-Specific Processor Synthesis Methodology.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003

Synthesis of Heterogeneous Distributed Architectures for Memory-Intensive Applications.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003

On automatic generation of RTL validation test benches using circuit testing techniques.
Proceedings of the 13th ACM Great Lakes Symposium on VLSI 2003, 2003

Securing Mobile Appliances: New Challenges for the System Designer.
Proceedings of the 2003 Design, 2003

Energy Estimation for Extensible Processors.
Proceedings of the 2003 Design, 2003

Transport Protocol Optimization for Energy Efficient Wireless Embedded Systems.
Proceedings of the 2003 Design, 2003

A scalable software-based self-test methodology for programmable processors.
Proceedings of the 40th Design Automation Conference, 2003

2002
High-level test compaction techniques.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002

Test synthesis of systems-on-a-chip.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002

Special Session: Security on SoC.
Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), 2002

Securing Wireless Data: System Architecture Challenges.
Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), 2002

Synthesis of custom processors based on extensible platforms.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

High-level synthesis of distributed logic-memory architectures.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

Optimizing public-key encryption for wireless clients.
Proceedings of the IEEE International Conference on Communications, 2002

System design methodologies for a wireless security processing platform.
Proceedings of the 39th Design Automation Conference, 2002

FLEXBAR: A crossbar switching fabric with improved performance and utilization.
Proceedings of the IEEE 2002 Custom Integrated Circuits Conference, 2002

2001
TAO: regular expression-based register-transfer level testability analysis and optimization.
IEEE Trans. Very Large Scale Integr. Syst., 2001

Testing of core-based systems-on-a-chip.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

Fault-diagnosis-based technique for establishing RTL and gate-levelcorrespondences.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

Synthesis of System-on-a-chip for Testability.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

Fast test generation for circuits with RTL and gate-level views.
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001

Transient Power Management Through High Level Synthesis.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001

2000
TAO-BIST: A framework for testability analysis and optimization forbuilt-in self-test of RTL circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

Integrating variable-latency components into high-level synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

High-Level Synthesis with Variable-Latency Components.
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000

: Reducing test application time in high-level test generation.
Proceedings of the Proceedings IEEE International Test Conference 2000, 2000

A Technique for Identifying RTL and Gate-Level Correspondences.
Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, 2000

1999
TAO-BIST: A Framework for Testability Analysis and Optimizationb of RTL Circuits for BIST.
Proceedings of the 17th IEEE VLSI Test Symposium (VTS '99), 1999

A framework for testing core-based systems-on-a-chip.
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999

1998
Controller Resynthesis for Testability Enhancement of RTL Controller/Data Path Circuits.
J. Electron. Test., 1998

TAO: regular expression based high-level testability analysis and optimization.
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998

Removal of memory access bottlenecks for scheduling control-flow intensive behavioral descriptions.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998


  Loading...