Vivek Chickermane

Orcid: 0000-0003-1232-470X

According to our database1, Vivek Chickermane authored at least 47 papers between 1990 and 2022.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
PPA Optimization of Test Points in Automotive Designs.
Proceedings of the IEEE International Test Conference, 2022

2020
Guest Editors' Introduction: Selected Papers from IEEE VLSI Test Symposium.
IEEE Des. Test, 2020

2019
Observation Point Placement for Improved Logic Diagnosis based on Large Sets of Candidate Faults.
Proceedings of the 37th IEEE VLSI Test Symposium, 2019

Optimized Physical DFT Synthesis of Unified Compression and LBIST for Automotive Applications.
Proceedings of the IEEE International Test Conference, 2019

2017
Advancing test compression to the physical dimension.
Proceedings of the IEEE International Test Conference, 2017

2015
A DfT Architecture and Tool Flow for 3-D SICs With Test Data Compression, Embedded Cores, and Multiple Towers.
IEEE Des. Test, 2015

A Novel Failure Diagnosis Approach for Low Pin Count and Low Power Compression Architectures.
Proceedings of the 24th IEEE North Atlantic Test Workshop, 2015

At-Speed Testing of Inter-Die Connections of 3D-SICs in the Presence of Shore Logic.
Proceedings of the 24th IEEE Asian Test Symposium, 2015

2014
Innovative practices session 1C: Existing/emerging low power techniques.
Proceedings of the 32nd IEEE VLSI Test Symposium, 2014

Tutorial T3A: Testing Low-Power Integrated Circuits: Challenges, Solutions, and Industry Practices.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014

Efficient testing of hierarchical core-based SOCs.
Proceedings of the 2014 International Test Conference, 2014

2013
Test and debug strategy for TSMC CoWoS™ stacking process based heterogeneous 3D IC: A silicon case study.
Proceedings of the 2013 IEEE International Test Conference, 2013

SmartScan - Hierarchical test compression for pin-limited low power designs.
Proceedings of the 2013 IEEE International Test Conference, 2013

Automated DfT insertion and test generation for 3D-SICs with embedded cores and multiple towers.
Proceedings of the 18th IEEE European Test Symposium, 2013

2012
DfT architecture and ATPG for Interconnect tests of JEDEC Wide-I/O memory-on-logic die stacks.
Proceedings of the 2012 IEEE International Test Conference, 2012

2011
Automation of 3D-DfT Insertion.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

2010
Low cost at-speed testing using On-Product Clock Generation compatible with test compression.
Proceedings of the 2011 IEEE International Test Conference, 2010

2009
Automating IEEE 1500 Core Test—An EDA Perspective.
IEEE Des. Test Comput., 2009

Capture power reduction using clock gating aware test generation.
Proceedings of the 2009 IEEE International Test Conference, 2009

Why is Conventional ATPG Not Sufficient for Advanced Low Power Designs?.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

2008
A Power-Aware Test Methodology for Multi-Supply Multi-Voltage Designs.
Proceedings of the 2008 IEEE International Test Conference, 2008

Test Generation for State Retention Logic.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

2007
Low Power Reduced Pin Count Test Methodology.
Proceedings of the 16th Asian Test Symposium, 2007

2006
A Scalable Architecture for On-Chip Compression: Options and Trade-Offs.
Proceedings of the 15th Asian Test Symposium, 2006

Early Life Cycle Yield Learning for Nanometer Devices Using Volume Yield Diagnostics Analysis.
Proceedings of the 15th Asian Test Symposium, 2006

Automation of IEEE 1149.6 Boundary Scan Synthesis in an ASIC Methodology.
Proceedings of the 15th Asian Test Symposium, 2006

2005
Low Cost Delay Testing of Nanometer SoCs Using On-Chip Clocking and Test Compression.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

Practical Aspects of Delay Testing for Nanometer Chips.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

2004
An Economic Analysis and ROI Model for Nanometer Test.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

Channel Masking Synthesis for Efficient On-Chip Test Compression.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

2001
System-on-Chip Testability Using LSSD Scan Structures.
IEEE Des. Test Comput., 2001

A building block BIST methodology for SOC designs: a case study.
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001

2000
Integrating Logic BIST in VLSI Designs with Embedded Memories.
Proceedings of the 18th IEEE VLSI Test Symposium (VTS 2000), 30 April, 2000

1997
Addressing Early Design-For-Test Synthesis in a Production Environment.
Proceedings of the Proceedings IEEE International Test Conference 1997, 1997

1996
A Design For Test Perspective on I/O Management.
Proceedings of the 1996 International Conference on Computer Design (ICCD '96), 1996

1995
Sequential circuit testability enhancement using a nonscan approach.
IEEE Trans. Very Large Scale Integr. Syst., 1995

1994
An observability enhancement approach for improved testability and at-speed test.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994

Addressing design for testability at the architectural level.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994

1993
Impact of high level functional constraints on testability.
Proceedings of the 11th IEEE VLSI Test Symposium (VTS'93), 1993

Non-Scan Design-for-Testability Techniques for Sequential Circuits.
Proceedings of the 30th Design Automation Conference. Dallas, 1993

1992
Probe point insertion for at-speed test.
Proceedings of the 10th IEEE VLSI Test Symposium (VTS'92), 1992

Design for Testability Using Architectural Descriptions.
Proceedings of the Proceedings IEEE International Test Conference 1992, 1992

A comparative study of design for testability methods using high-level and gate-level descriptions.
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992

APT: An Area-Performance-Testability Driven Placement Algorithm.
Proceedings of the 29th Design Automation Conference, 1992

1991
A Fault Oriented Partial Scan Design Approach.
Proceedings of the 1991 IEEE/ACM International Conference on Computer-Aided Design, 1991

Restructuring VLSI layout representations for efficiency.
Proceedings of the conference on European design automation, 1991

1990
An optimization based approach to the partial scan design problem.
Proceedings of the Proceedings IEEE International Test Conference 1990, 1990


  Loading...