Rubin A. Parekhji

According to our database1, Rubin A. Parekhji authored at least 66 papers between 1989 and 2022.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
Innovative Practices Track: New Methods for System Level Test of Image Projection and Radar VLSI Systems.
Proceedings of the 40th IEEE VLSI Test Symposium, 2022

2021
Exploiting Application Tolerance for Functional Safety.
Proceedings of the IEEE International Test Conference, 2021

2019
Guest Editorial.
J. Electron. Test., 2019

Perturbation Based Workload Augmentation for Comprehensive Functional Safety Analysis.
Proceedings of the 32nd International Conference on VLSI Design and 18th International Conference on Embedded Systems, 2019

2018
Towards Single Pin Scan for Extremely Low Pin Count Test.
Proceedings of the 31st International Conference on VLSI Design and 17th International Conference on Embedded Systems, 2018

2017
An optimised SDD ATPG and SDQL computation method across different pattern sets.
Proceedings of the 35th IEEE VLSI Test Symposium, 2017

Innovative practices session 2C: "How is industry simplifying analog test".
Proceedings of the 35th IEEE VLSI Test Symposium, 2017

Safety analysis for integrated circuits in the context of hybrid systems.
Proceedings of the IEEE International Test Conference, 2017

Low cost dynamic error detection in linearity testing of SAR ADCs.
Proceedings of the IEEE International Test Conference, 2017

2016
A novel technique for interdependent trim code optimization.
Proceedings of the 34th IEEE VLSI Test Symposium, 2016

2015
Time-Division Multiplexing for Testing DVFS-Based SoCs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Guest Editors' Introduction: Speeding Up Analog Integration and Test for Mixed-Signal SoCs.
IEEE Des. Test, 2015

New Methods for Simulation Speed-up and Test Qualification with Analog Fault Simulation.
Proceedings of the 28th International Conference on VLSI Design, 2015

On-chip measurement of bandgap reference voltage using a small form factor VCO based zoom-in ADC.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Improved Methods for Accurate Safety Analysis of Real-Life Systems.
Proceedings of the 24th IEEE Asian Test Symposium, 2015

2014
Systematic approach for trim test time optimization: Case study on a multi-core RF SOC.
Proceedings of the 2014 International Test Conference, 2014

Multi-site test optimization for multi-Vdd SoCs using space- and time- division multiplexing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
Towards adaptive test of multi-core RF SoCs.
Proceedings of the Design, Automation and Test in Europe, 2013

2012
Test Schedule Optimization for Multicore SoCs: Handling Dynamic Voltage Scaling and Multiple Voltage Islands.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

Derating based hardware optimizations in soft error tolerant designs.
Proceedings of the 30th IEEE VLSI Test Symposium, 2012

Time-division multiplexing for testing SoCs with DVS and multiple voltage islands.
Proceedings of the 17th IEEE European Test Symposium, 2012

2011
Design Techniques with Multiple Scan Compression CoDecs for Low Power and High Quality Scan Test.
J. Low Power Electron., 2011

An efficient test data reduction technique through dynamic pattern mixing across multiple fault models.
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

Multi-CoDec Configurations for Low Power and High Quality Scan Test.
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011

DFT for extremely low cost test of mixed signal SOCs with integrated RF and power management.
Proceedings of the 2011 IEEE International Test Conference, 2011

Reduced overhead soft error mitigation using error control coding techniques.
Proceedings of the 17th IEEE International On-Line Testing Symposium (IOLTS 2011), 2011

Test Scheduling for Multicore SoCs with Dynamic Voltage Scaling and Multiple Voltage Islands.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

2010
False Error Vulnerability Study of On-line Soft Error Detection Mechanisms.
J. Electron. Test., 2010

A generic low power scan chain wrapper for designs using scan compression.
Proceedings of the 28th IEEE VLSI Test Symposium, 2010

Innovative practices session 1C: Innovative practices in RF test.
Proceedings of the 28th IEEE VLSI Test Symposium, 2010

Test time reduction using parallel RF test techniques.
Proceedings of the 28th IEEE VLSI Test Symposium, 2010

Robust detection of soft errors using delayed capture methodology.
Proceedings of the 16th IEEE International On-Line Testing Symposium (IOLTS 2010), 2010

2009
Design techniques and tradeoffs in implementing non-destructive field test using logic BIST self-test.
Proceedings of the 15th IEEE International On-Line Testing Symposium (IOLTS 2009), 2009

Bit-Operation-Based Seed Augmentation for LFSR Reseeding with High Defect Coverage.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

2008
Low Power Test for Nanometer System-on-Chips (SoCs).
J. Low Power Electron., 2008

A Regression Based Technique for ATE-Aware Test Data Volume Estimation of System-on-Chips.
Proceedings of the 26th IEEE VLSI Test Symposium (VTS 2008), April 27, 2008

A systematic approach to synthesis of verification test-suites for modular SoC designs.
Proceedings of the 21st Annual IEEE International SoC Conference, SoCC 2008, 2008

DFT Implementationis for Striking the Right Balance between Test Cost and Test Quality for Automotive SOCs.
Proceedings of the 2008 IEEE International Test Conference, 2008

False Error Study of On-line Soft Error Detection Mechanisms.
Proceedings of the 14th IEEE International On-Line Testing Symposium (IOLTS 2008), 2008

Evaluation of Entropy Driven Compression Bounds on Industrial Designs.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

Power Analysis and Reduction Techniques for Transition Fault Testing.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

2007
Modified Stability Checking for On-line Error Detection.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Modeling Techniques for Formal Verification of BIST Controllers and Their Integration into SOC Designs.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Enhancements in Deterministic BIST Implementations for Improving Test of Complex SOCs.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Methodology for low power test pattern generation using activity threshold control logic.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

2006
Session Abstract.
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006

On-chip Test and Repair of Memories for Static and Dynamic Faults.
Proceedings of the 2006 IEEE International Test Conference, 2006

2005
DFT for Low Cost SOC Test.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

Choosing the Right Mix of At-speed Structural Test Patterns: Comparisons in Pattern Volume Reduction and Fault Detection Efficiency.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

2004
ITC 2003 panels: Part 1.
IEEE Des. Test Comput., 2004

Built-in Self-test Technique for Selective Detection of Neighbourhood Pattern Sensitive Faults in Memories.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

DFT for Test Optimisations in a Complex Mixed-Signal SOC - Case Study on TI's TNETD7300 ADSL Modem Device.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

CESC: a visual formalism for specification and verification of SoCs.
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004

2003
Testing Embedded Cores and SOCs-DFT, ATPG and BIST Solutions.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003

Panel Synopsis - How (In)Adequate is One Time Testing?
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003

2002
Challenges in the Design of a Scalable Data-Acquisition and Processing System-on-Silicon.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

2000
Test Techniques and Trade-offs for Embedded Cores and Systems.
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000

A framework to evaluate test tradeoffs in embedded core based systems-case study on TI's TMS320C27xx.
Proceedings of the Proceedings IEEE International Test Conference 2000, 2000

Functional testing and fault analysis based fault coverage enhancement techniques for embedded core based systems.
Proceedings of the 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, 2000

1996
Monitoring machine based synthesis technique for concurrent error detection in finite state machines.
J. Electron. Test., 1996

E-Groups: A New Technique for Fast Backward Propagation in System Level Test Generation.
Proceedings of the 5th Asian Test Symposium (ATS '96), 1996

1995
Concurrent Error Detection Using Monitoring Machines.
IEEE Des. Test Comput., 1995

A new methodology for the design of low-cost fail safe circuits and networks.
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995

1993
State Assignment for Optimal Design of Monitored Self-Checking Sequential Circuits.
Proceedings of the Sixth International Conference on VLSI Design, 1993

1991
A Methodology for Designing Optimal Self-Checking Sequential Circuits.
Proceedings of the Proceedings IEEE International Test Conference 1991, 1991

1989
Design methodology and microdiagnostics development for a self-checking microprocessor.
Proceedings of the 22nd Annual Workshop and Symposium on Microprogramming and Microarchitecture, 1989


  Loading...