Sander Stuijk

Orcid: 0000-0002-2518-6847

According to our database1, Sander Stuijk authored at least 150 papers between 2003 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Speckle Vibrometry for Instantaneous Heart Rate Monitoring.
Sensors, July, 2023

Dissecting Tensor Cores via Microbenchmarks: Latency, Throughput and Numeric Behaviors.
IEEE Trans. Parallel Distributed Syst., 2023

Thermal Imaging for Respiration Monitoring in Sleeping Positions: A Single Camera is Enough.
Proceedings of the 13th IEEE International Conference on Consumer Electronics - Berlin, 2023

Dependability of Future Edge-AI Processors: Pandora's Box.
Proceedings of the IEEE European Test Symposium, 2023

Vision-Based Multi-Size Object Positioning.
Proceedings of the 26th Euromicro Conference on Digital System Design, 2023


ReMeCo: Reliable Memristor-Based in-Memory Neuromorphic Computation.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
Accelerating Weather Prediction Using Near-Memory Reconfigurable Fabric.
ACM Trans. Reconfigurable Technol. Syst., 2022

CONVOLVE: Smart and seamless design of smart edge processors.
CoRR, 2022

LEAPER: Modeling Cloud FPGA-based Systems via Transfer Learning.
CoRR, 2022

Dissecting Tensor Cores via Microbenchmarks: Latency, Throughput and Numerical Behaviors.
CoRR, 2022

Sibyl: adaptive and extensible data placement in hybrid storage systems using online reinforcement learning.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022

Accelerating Video Object Detection by Exploiting Prior Object Locations.
Proceedings of the Image Analysis and Processing - ICIAP 2022, 2022

LEAPER: Fast and Accurate FPGA-based System Performance Prediction via Transfer Learning.
Proceedings of the IEEE 40th International Conference on Computer Design, 2022

Contactless Heartbeat Measurement Using Speckle Vibrometry.
Proceedings of the 44th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2022

A Comparison of Video-based Methods for Neonatal Body Motion Detection.
Proceedings of the 44th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2022

Partial Evaluation in Junction Trees.
Proceedings of the 25th Euromicro Conference on Digital System Design, 2022

DNAsim: Evaluation Framework for Digital Neuromorphic Architectures.
Proceedings of the 25th Euromicro Conference on Digital System Design, 2022

SySCIM: SystemC-AMS Simulation of Memristive Computation In-Memory.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
Camera-Based Vital Signs Monitoring During Sleep - A Proof of Concept Study.
IEEE J. Biomed. Health Informatics, 2021

Taming the State-space Explosion in the Makespan Optimization of Flexible Manufacturing Systems.
ACM Trans. Cyber Phys. Syst., 2021

Automatic Separation of Respiratory Flow from Motion in Thermal Videos for Infant Apnea Detection.
Sensors, 2021

Towards Continuous Camera-Based Respiration Monitoring in Infants.
Sensors, 2021

Reconfigurable Pipelined Control Systems.
IEEE Des. Test, 2021

NERO: Accelerating Weather Prediction using Near-Memory Reconfigurable Fabric.
CoRR, 2021

NeuroVP: A System-Level Virtual Platform for Integration of Neuromorphic Accelerators.
Proceedings of the 34th IEEE International System-on-Chip Conference, 2021

DominoSearch: Find layer-wise fine-grained N: M sparse schemes from dense neural networks.
Proceedings of the Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, 2021

Characterization of Mems Microphone Sensitivity and Phase Distributions with Applications in Array Processing.
Proceedings of the IEEE International Conference on Acoustics, 2021

Gyro: A Digital Spiking Neural Network Architecture for Multi-Sensory Data Analytics.
Proceedings of the DroneSE and RAPIDO '21: Methods and Tools, 2021

Modeling FPGA-Based Systems via Few-Shot Learning.
Proceedings of the FPGA '21: The 2021 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28, 2021

Body Motion Detection in Neonates Based on Motion Artifacts in Physiological Signals from a Clinical Patient Monitor.
Proceedings of the 43rd Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2021

Efficient Tensor Cores support in TVM for Low-Latency Deep learning.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Hardware Approximation of Exponential Decay for Spiking Neural Networks.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021

2020
Schedule Synthesis for Halide Pipelines on GPUs.
ACM Trans. Archit. Code Optim., 2020

Real-time audio processing for hearing aids using a model-based bayesian inference framework.
Proceedings of the SCOPES '20: 23rd International Workshop on Software and Compilers for Embedded Systems, 2020

Reviewing inference performance of state-of-the-art deep learning frameworks.
Proceedings of the SCOPES '20: 23rd International Workshop on Software and Compilers for Embedded Systems, 2020

Programming tensor cores from an image processing DSL.
Proceedings of the SCOPES '20: 23rd International Workshop on Software and Compilers for Embedded Systems, 2020

System Simulation of Memristor Based Computation in Memory Platforms.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2020

Approximate Inference by Kullback-Leibler Tensor Belief Propagation.
Proceedings of the 2020 IEEE International Conference on Acoustics, 2020

NERO: A Near High-Bandwidth Memory Stencil Accelerator for Weather Prediction Modeling.
Proceedings of the 30th International Conference on Field-Programmable Logic and Applications, 2020

2019
Designing a Controller with Image-based Pipelined Sensing and Additive Uncertainties.
ACM Trans. Cyber Phys. Syst., 2019

Schedule Synthesis for Halide Pipelines through Reuse Analysis.
ACM Trans. Archit. Code Optim., 2019

Near-memory computing: Past, present, and future.
Microprocess. Microsystems, 2019

Towards Efficient Code Generation for Exposed Datapath Architectures.
Proceedings of the 22nd International Workshop on Software and Compilers for Embedded Systems, 2019

CIM-SIM: Computation In Memory SIMuIator.
Proceedings of the 22nd International Workshop on Software and Compilers for Embedded Systems, 2019

Low Precision Processing for High Order Stencil Computations.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2019

Camera-Based On-Line Short Cessation of Breathing Detection.
Proceedings of the 2019 IEEE/CVF International Conference on Computer Vision Workshops, 2019

Robust Bayesian Beamforming for Sources at Different Distances with Applications in Urban Monitoring.
Proceedings of the IEEE International Conference on Acoustics, 2019

NARMADA: Near-Memory Horizontal Diffusion Accelerator for Scalable Stencil Computations.
Proceedings of the 29th International Conference on Field Programmable Logic and Applications, 2019

Implementation-aware design of image-based control with on-line measurable variable-delay.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

NAPEL: Near-Memory Computing Application Performance Prediction via Ensemble Learning.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
Exploiting Specification Modularity to Prune the Optimization-Space of Manufacturing Systems.
Proceedings of the 21st International Workshop on Software and Compilers for Embedded Systems, 2018

A Unified Programming Model for Time- and Data-Driven Embedded Applications.
Proceedings of the 26th Euromicro International Conference on Parallel, 2018

A Model for Waveform Dissimilarities in Dual-Depth Reflectance-PPG.
Proceedings of the 40th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2018

The Importance of Posture and Skin-Site Selection on Remote Measurements of Neck Pulsations: An Ultrasonographic Study.
Proceedings of the 40th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2018

A Review of Near-Memory Computing Architectures: Opportunities and Challenges.
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018

Fault-Tolerant Deployment of Dataflow Applications Using Virtual Processors.
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018

Impairing Factors in Remote-PPG Pulse Transit Time Measurements on the Face.
Proceedings of the 2018 IEEE Conference on Computer Vision and Pattern Recognition Workshops, 2018

Loop transformations leveraging hardware prefetching.
Proceedings of the 2018 International Symposium on Code Generation and Optimization, 2018

2017
NoC-Based Multiprocessor Architecture for Mixed-Time-Criticality Applications.
Proceedings of the Handbook of Hardware/Software Codesign., 2017

Performance Analysis of Weakly-Consistent Scenario-Aware Dataflow Graphs.
J. Signal Process. Syst., 2017

Reducing the Complexity of Dataflow Graphs Using Slack-Based Merging.
ACM Trans. Design Autom. Electr. Syst., 2017

Task-FIFO Co-Scheduling of Streaming Applications on MPSoCs with Predictable Memory Hierarchy.
ACM Trans. Embed. Comput. Syst., 2017

Living-Skin Classification via Remote-PPG.
IEEE Trans. Biomed. Eng., 2017

Algorithmic Principles of Remote PPG.
IEEE Trans. Biomed. Eng., 2017

Time synchronization for an emulated CAN device on a Multi-Processor System on Chip.
Microprocess. Microsystems, 2017

Mapping of synchronous dataflow graphs on MPSoCs based on parallelism enhancement.
J. Parallel Distributed Comput., 2017

Effective link quality estimation as a means to improved end-to-end packet delivery in high traffic mobile ad hoc networks<sup>☆</sup>.
Digit. Commun. Networks, 2017

Exploring the trade-off between processing resources and settling time in image-based control through LQR tuning.
Proceedings of the Symposium on Applied Computing, 2017

Color-Distortion Filtering for Remote Photoplethysmography.
Proceedings of the 12th IEEE International Conference on Automatic Face & Gesture Recognition, 2017

Identifying bottlenecks in manufacturing systems using stochastic criticality analysis.
Proceedings of the 2017 Forum on Specification and Design Languages, 2017

Programming and analysing scenario-aware dataflow on a multi-processor platform.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Efficient synchronization methods for LET-based applications on a Multi-Processor System on Chip.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
Multiconstraint Static Scheduling of Synchronous Dataflow Graphs Via Retiming and Unfolding.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

A Novel Algorithm for Remote Photoplethysmography: Spatial Subspace Rotation.
IEEE Trans. Biomed. Eng., 2016

Ballistocardiographic Artifacts in PPG Imaging.
IEEE Trans. Biomed. Eng., 2016

xCPS: a tool to explore cyber physical systems.
SIGBED Rev., 2016

Reconfigurable pipelined sensing for image-based control.
Proceedings of the 11th IEEE Symposium on Industrial Embedded Systems, 2016

INLyD: Inter-Network-Layer Delay as a Low-cost Quality Metric for Multi-hop Routing in Wireless Mobile Networks.
Proceedings of the 13th ACM Symposium on Performance Evaluation of Wireless Ad Hoc, 2016

Virtualization and emulation of a CAN device on a Multi-Processor System on Chip.
Proceedings of the 5th Mediterranean Conference on Embedded Computing, 2016

Robust online face tracking-by-detection.
Proceedings of the IEEE International Conference on Multimedia and Expo, 2016

A Fast Estimator of Performance with Respect to the Design Parameters of Self Re-Entrant Flowshops.
Proceedings of the 2016 Euromicro Conference on Digital System Design, 2016

2015
Maximizing the Number of Good Dies for Streaming Applications in NoC-Based MPSoCs Under Process Variation.
ACM Trans. Embed. Comput. Syst., 2015

Unsupervised Subject Detection via Remote PPG.
IEEE Trans. Biomed. Eng., 2015

Exploiting Spatial Redundancy of Image Sensor for Motion Robust rPPG.
IEEE Trans. Biomed. Eng., 2015

Motion Robust Remote-PPG in Infrared.
IEEE Trans. Biomed. Eng., 2015

Improving End-to-end Packet Delivery in High Traffic Multi-hop Wireless Ad Hoc Networks.
EAI Endorsed Trans. Energy Web, 2015

Modeling resource sharing using FSM-SADF.
Proceedings of the 13. ACM/IEEE International Conference on Formal Methods and Models for Codesign, 2015


A Scenario-Aware Dataflow Programming Model.
Proceedings of the 2015 Euromicro Conference on Digital System Design, 2015

A re-entrant flowshop heuristic for online scheduling of the paper path in a large scale printer.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Online multi-face detection and tracking using detector confidence and structured SVMs.
Proceedings of the 12th IEEE International Conference on Advanced Video and Signal Based Surveillance, 2015

2014
A tool for fast ground truth generation for object detection and tracking from video.
Proceedings of the 2014 IEEE International Conference on Image Processing, 2014

ContoExam: an ontology on context-aware examinations.
Proceedings of the Formal Ontology in Information Systems, 2014

Memory-constrained static rate-optimal scheduling of synchronous dataflow graphs via retiming.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Timing analysis of First-Come First-Served scheduled interval-timed Directed Acyclic Graphs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Automatic RoI Detection for Camera-Based Pulse-Rate Measurement.
Proceedings of the Computer Vision - ACCV 2014 Workshops, 2014

2013
Schedule-Extended Synchronous Dataflow Graphs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Efficient communication support in predictable heterogeneous MPSoC designs for streaming applications.
J. Syst. Archit., 2013

Throughput-constrained DVFS for scenario-aware dataflow graphs.
Proceedings of the 19th IEEE Real-Time and Embedded Technology and Applications Symposium, 2013

A Case Study into Predictable and Composable MPSoC Reconfiguration.
Proceedings of the 2013 IEEE International Symposium on Parallel & Distributed Processing, 2013

RASW: A run-time adaptive sliding window to improve Viola-Jones object detection.
Proceedings of the Seventh International Conference on Distributed Smart Cameras, 2013

MAMPSX: A demonstration of rapid, predictable HMPSOC synthesis.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013

Throughput analysis and Voltage-Frequency Island partitioning for streaming applications under process variation.
Proceedings of the 11th IEEE Symposium on Embedded Systems for Real-time Multimedia, 2013

Dataflow-Based Multi-ASIP Platform Approach for Digital Control Applications.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013

Semantic interoperability in sensor applications making sense of sensor data.
Proceedings of the 2013 IEEE Symposium on Computational Intelligence in Healthcare and e-health, 2013

2012
Efficient Retiming of Multirate DSP Algorithms.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

Predictable dynamic embedded data processing.
Proceedings of the 2012 International Conference on Embedded Computer Systems: Architectures, 2012

Static Rate-Optimal Scheduling of Multirate DSP Algorithms via Retiming and Unfolding.
Proceedings of the 2012 IEEE 18th Real Time and Embedded Technology and Applications Symposium, 2012

Parametric throughput analysis of scenario-aware dataflow graphs.
Proceedings of the 30th International IEEE Conference on Computer Design, 2012

Playing games with scenario- and resource-aware SDF graphs through policy iteration.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Modeling static-order schedules in synchronous dataflow graphs.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
Scenario-aware dataflow: Modeling, analysis and implementation of dynamic applications.
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011

Resource-Efficient Real-Time Scheduling Using Credit-Controlled Static-Priority Arbitration.
Proceedings of the 17th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2011

Iteration-Based Trade-Off Analysis of Resource-Aware SDF.
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011

Power Minimisation for Real-Time Dataflow Applications.
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011

Exploiting Inter and Intra Application Dynamism to Save Energy.
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011

Hybrid Code-Data Prefetch-Aware Multiprocessor Task Graph Scheduling.
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011

An Automated Flow to Map Throughput Constrained Applications to a MPSoC.
Proceedings of the Bringing Theory to Practice: Predictability and Performance in Embedded Systems, 2011

Designing next-generation real-time streaming systems.
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011

An MPSoC design approach for multiple use-cases of throughput constrainted applications.
Proceedings of the 8th Conference on Computing Frontiers, 2011

2010
Buffer Sizing for Rate-Optimal Single-Rate Data-Flow Scheduling Revisited.
IEEE Trans. Computers, 2010

CA-MPSoC: An automated design flow for predictable multi-processor architectures for multiple applications.
J. Syst. Archit., 2010


Mapping Embedded Applications on MPSoCs: The MNEMEE Approach.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2010

Thermal-aware scratchpad memory design and allocation.
Proceedings of the 28th International Conference on Computer Design, 2010

A Predictable Multiprocessor Design Flow for Streaming Applications with Dynamic Behaviour.
Proceedings of the 13th Euromicro Conference on Digital System Design, 2010

Automated bottleneck-driven design-space exploration of media processing systems.
Proceedings of the Design, Automation and Test in Europe, 2010

Worst-case performance analysis of synchronous dataflow scenarios.
Proceedings of the 8th International Conference on Hardware/Software Codesign and System Synthesis, 2010

A predictable communication assist.
Proceedings of the 7th Conference on Computing Frontiers, 2010

MNEMEE: a framework for memory management and optimization of static and dynamic data in MPSoCs.
Proceedings of the 2010 International Conference on Compilers, 2010

2009
Exploring trade-offs between performance and resource requirements for synchronous dataflow graphs.
Proceedings of the 7th IEEE/ACM/IFIP Workshop on Embedded Systems for Real-Time Multimedia, 2009

A parameterized compositional multi-dimensional multiple-choice knapsack heuristic for CMP run-time management.
Proceedings of the 46th Design Automation Conference, 2009

2008
Throughput-Buffering Trade-Off Exploration for Cyclo-Static and Synchronous Dataflow Graphs.
IEEE Trans. Computers, 2008

Resource-efficient routing and scheduling of time-constrained streaming communication on networks-on-chip.
J. Syst. Archit., 2008

Analyzing concurrency in streaming applications.
J. Syst. Archit., 2008

Parametric Throughput Analysis of Synchronous Data Flow Graphs.
Proceedings of the Design, Automation and Test in Europe, 2008

2007
Latency Minimization for Synchronous Data Flow Graphs.
Proceedings of the Tenth Euromicro Conference on Digital System Design: Architectures, 2007

Multiprocessor Resource Allocation for Throughput-Constrained Synchronous Dataflow Graphs.
Proceedings of the 44th Design Automation Conference, 2007

2006
A scenario-aware data flow model for combined long-run average and worst-case performance analysis.
Proceedings of the 4th ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2006), 2006

Liveness and Boundedness of Synchronous Data Flow Graphs.
Proceedings of the Formal Methods in Computer-Aided Design, 6th International Conference, 2006

Resource-Efficient Routing and Scheduling of Time-Constrained Network-on-Chip Communication.
Proceedings of the Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD 2006), 30 August, 2006

Exploring trade-offs in buffer requirements and throughput constraints for synchronous dataflow graphs.
Proceedings of the 43rd Design Automation Conference, 2006

SDF<sup>3</sup>: SDF For Free.
Proceedings of the Sixth International Conference on Application of Concurrency to System Design (ACSD 2006), 2006

Throughput Analysis of Synchronous Data Flow Graphs.
Proceedings of the Sixth International Conference on Application of Concurrency to System Design (ACSD 2006), 2006

2005
Predictable Embedding of Large Data Structures in Multiprocessor Networks-on-Chip.
Proceedings of the 2005 Design, 2005

Automatic scenario detection for improved WCET estimation.
Proceedings of the 42nd Design Automation Conference, 2005

Minimising buffer requirements of synchronous dataflow graphs with model checking.
Proceedings of the 42nd Design Automation Conference, 2005

2003
Analyzing Concurrency in Computational Networks.
Proceedings of the 1st ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2003), 2003

CAST - A Task-Level Concurrency Analysis Tool.
Proceedings of the 3rd International Conference on Application of Concurrency to System Design (ACSD 2003), 2003


  Loading...