Kevin Zhang

Affiliations:
  • Taiwan Semiconductor Manufacturing Company (TSMC), Hsinchu, Taiwan
  • Intel Corporation, Hillsboro, OR, USA (until 2016)
  • Duke University, Durham, NC, USA (PhD 1994)


According to our database1, Kevin Zhang authored at least 40 papers between 2002 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
1.1 Semiconductor Industry: Present & Future.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2021
Session 1 Overview Plenary Session - Invited Papers.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

2017
5.6 Mb/mm<sup>2</sup> 1R1W 8T SRAM Arrays Operating Down to 560 mV Utilizing Small-Signal Sensing With Charge Shared Bitline and Asymmetric Sense Amplifier in 14 nm FinFET CMOS Technology.
IEEE J. Solid State Circuits, 2017

2016
A High-Density Metal-Fuse Technology Featuring a 1.6 V Programmable Low-Voltage Bit Cell With Integrated 1 V Charge Pumps in 22 nm Tri-Gate CMOS.
IEEE J. Solid State Circuits, 2016

A 0.6 V, 1.5 GHz 84 Mb SRAM in 14 nm FinFET CMOS Technology With Capacitive Charge-Sharing Write Assist Circuitry.
IEEE J. Solid State Circuits, 2016

A 0.9um<sup>2</sup> 1T1R bit cell in 14nm SoC process for metal-fuse OTP array with hierarchical bitline, bit level redundancy, and power gating.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

Foreword: Silicon systems for the Internet of Everything.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

17.2 5.6Mb/mm2 1R1W 8T SRAM arrays operating down to 560mV utilizing small-signal sensing with charge-shared bitline and asymmetric sense amplifier in 14nm FinFET CMOS technology.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

Session 1 overview: Plenary session.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

Circuit Design in Nano-Scale CMOS Technologies.
Proceedings of the 2016 on International Symposium on Physical Design, 2016

2015
A 1 Gb 2 GHz 128 GB/s Bandwidth Embedded DRAM in 22 nm Tri-Gate CMOS Technology.
IEEE J. Solid State Circuits, 2015

Low-voltage metal-fuse technology featuring a 1.6V-programmable 1T1R bit cell with an integrated 1V charge pump in 22nm tri-gate process.
Proceedings of the Symposium on VLSI Circuits, 2015

A 0.094um<sup>2</sup> high density and aging resilient 8T SRAM with 14nm FinFET technology featuring 560mV VMIN with read and write assist.
Proceedings of the Symposium on VLSI Circuits, 2015

17.1 A 0.6V 1.5GHz 84Mb SRAM design in 14nm FinFET CMOS technology.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

2014
2<sup>nd</sup> generation embedded DRAM with 4X lower self refresh power in 22nm Tri-Gate CMOS technology.
Proceedings of the Symposium on VLSI Circuits, 2014

13.1 A 1Gb 2GHz embedded DRAM in 22nm tri-gate CMOS technology.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

2013
A 4.6 GHz 162 Mb SRAM Design in 22 nm Tri-Gate CMOS Technology With Integrated Read and Write Assist Circuitry.
IEEE J. Solid State Circuits, 2013

2012
A 4.6GHz 162Mb SRAM design in 22nm tri-gate CMOS technology with integrated active VMIN-enhancing assist circuitry.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

Challenges and opportunities for circuit design in nano-scale CMOS technologies.
Proceedings of the 38th European Solid-State Circuit conference, 2012

2011
A 32 nm High-k Metal Gate SRAM With Adaptive Dynamic Stability Enhancement for Low-Voltage Operation.
IEEE J. Solid State Circuits, 2011

Bit Cell Optimizations and Circuit Techniques for Nanoscale SRAM Design.
IEEE Des. Test Comput., 2011

2010
A 4.0 GHz 291 Mb Voltage-Scalable SRAM Design in a 32 nm High-k + Metal-Gate CMOS Technology With Integrated Power Management.
IEEE J. Solid State Circuits, 2010

Multi-Phase 1 GHz Voltage Doubler Charge Pump in 32 nm Logic Process.
IEEE J. Solid State Circuits, 2010

A 4 kb Metal-Fuse OTP-ROM Macro Featuring a 2 V Programmable 1.37 μ m <sup>2</sup> <i>1T1R</i> Bit Cell in 32 nm High-k Metal-Gate CMOS.
IEEE J. Solid State Circuits, 2010

A 32nm High-k metal gate SRAM with adaptive dynamic stability enhancement for low-voltage operation.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

2009
Process, Temperature, and Supply-Noise Tolerant 45nm Dense Cache Arrays With Diffusion-Notch-Free (DNF) 6T SRAM Cells and Dynamic Multi-Vcc Circuits.
IEEE J. Solid State Circuits, 2009

A 3.8 GHz 153 Mb SRAM Design With Dynamic Stability Enhancement and Leakage Reduction in 45 nm High-k Metal Gate CMOS Technology.
IEEE J. Solid State Circuits, 2009

A 4.0 GHz 291Mb voltage-scalable SRAM design in 32nm high-κ metal-gate CMOS with integrated power management.
Proceedings of the IEEE International Solid-State Circuits Conference, 2009

Circuit design in nano-scale CMOS era: opportunities & challenges.
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009

2008
A 1.1 GHz 12 µA/Mb-Leakage SRAM Design in 65 nm Ultra-Low-Power CMOS Technology With Integrated Leakage Reduction for Mobile Applications.
IEEE J. Solid State Circuits, 2008

Embedded Memory Design for Nano-Scale VLSI Systems (Forum).
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

A 153Mb-SRAM Design with Dynamic Stability Enhancement and Leakage Reduction in 45nm High-Κ Metal-Gate CMOS Technology.
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

2007
A 256-Kb Dual-V<sub>CC</sub> SRAM Building Block in 65-nm CMOS Process With Actively Clamped Sleep Transistor.
IEEE J. Solid State Circuits, 2007

Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

A 1.1GHz 12μA/Mb-Leakage SRAM Design in 65nm Ultra-Low-Power CMOS with Integrated Leakage Reduction for Mobile Applications.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

2006
A 3-GHz 70-mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply.
IEEE J. Solid State Circuits, 2006

A 4.2GHz 0.3mm2 256kb Dual-V<sub>cc</sub> SRAM Building Block in 65nm CMOS.
Proceedings of the 2006 IEEE International Solid State Circuits Conference, 2006

2005
SRAM design on 65-nm CMOS technology with dynamic sleep transistor for leakage reduction.
IEEE J. Solid State Circuits, 2005

Measurements and modeling of intrinsic fluctuations in MOSFET threshold voltage.
Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005

2002
Analysis of dual-V<sub>T</sub> SRAM cells with full-swing single-ended bit line sensing for on-chip cache.
IEEE Trans. Very Large Scale Integr. Syst., 2002


  Loading...