Matthew R. Guthaus

Orcid: 0000-0002-8113-4531

According to our database1, Matthew R. Guthaus authored at least 58 papers between 2003 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
SRAM Design with OpenRAM in SkyWater 130nm.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

2019
HCDN: Hybrid-Mode Clock Distribution Networks.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Bottom-Up Approach for High Speed SRAM Word-line Buffer Insertion Optimization.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

Automated Synthesis of Multi-Port Memories and Control.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

Fast and Area-Efficient SRAM Word-Line Optimization.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

2018
DCMCS: Highly Robust Low-Power Differential Current-Mode Clocking and Synthesis.
IEEE Trans. Very Large Scale Integr. Syst., 2018

2017
CMCS: Current-Mode Clock Synthesis.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Architectural opportunities for novel dynamic EMI shifting (DEMIS).
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017

Timing speculative SRAM.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Energy Savings and Performance Improvement in Subthreshold Using Adaptive Body Bias.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

2016
A 64 kb differential single-port 12T SRAM design with a bit-interleaving scheme for low-voltage operation in 32 nm SOI CMOS.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

OpenRAM: an open-source memory compiler.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

2015
Low-Power Clock Distribution Using a Current-Pulsed Clocked Flip-Flop.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

Differential current-mode clock distribution.
Proceedings of the IEEE 58th International Midwest Symposium on Circuits and Systems, 2015

LC resonant clock resource minimization using compensation capacitance.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Multi-frequency resonant clocks.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Switched capacitor quasi-adiabatic clocks.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

2014
Confronting the Variability Issues Affecting the Performance of Next-Generation SRAM Design to Optimize and Predict the Speed and Yield.
IEEE Access, 2014

Current-mode clock distribution.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

2013
Revisiting automated physical synthesis of high-performance clock networks.
ACM Trans. Design Autom. Electr. Syst., 2013

Embedded tutorials: Embedded tutorial 1: Cell-aware test-from gates to transistors.
Proceedings of the 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, 2013

A decap placement methodology for reducing joule heating and temperature in PSN interconnect.
Proceedings of the IEEE 56th International Midwest Symposium on Circuits and Systems, 2013

Redundant C4 power pin placement to ensure robust power grid delivery.
Proceedings of the IEEE 56th International Midwest Symposium on Circuits and Systems, 2013

2012
High-performance clock mesh optimization.
ACM Trans. Design Autom. Electr. Syst., 2012

Distributed LC Resonant Clock Grid Synthesis.
IEEE Trans. Circuits Syst. I Regul. Pap., 2012

Harmonic resonant clocking.
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012

A single-VDD ultra-low energy sub-threshold FPGA.
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012

SEU-Aware Low-Power Memories Using a Multiple Supply Voltage Array Architecture.
Proceedings of the VLSI-SoC: From Algorithms to Circuits and System-on-Chip Design, 2012

Dynamic voltage scaling for SEU-tolerance in low-power memories.
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012

Welcome from the general chair.
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012

VAR-TX: A variability-aware SRAM model for predicting the optimum architecture to achieve minimum access-time for yield enhancement in nano-scaled CMOS.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012

High-Performance, Low-Power Resonant Clocking: Embedded tutorial.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

Lithography-aware layout compaction.
Proceedings of the Great Lakes Symposium on VLSI 2012, 2012

Library-aware resonant clock synthesis (LARCS).
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
SNM-aware power reduction and reliability improvement in 45nm SRAMs.
Proceedings of the IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011

Advanced logic design through hands-on digital music synthesis.
Proceedings of the 2011 IEEE International Conference on Microelectronic Systems Education, 2011

Package-chip co-design to increase flip-chip C4 reliability.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

Distributed LC resonant clock tree synthesis.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Low-power multiple-bit upset tolerant memory optimization.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

A methodology for local resonant clock synthesis using LC-assisted local clock buffers.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

Leakage-aware redundancy for reliable sub-threshold memories.
Proceedings of the 48th Design Automation Conference, 2011

Distributed Resonant clOCK grid Synthesis (ROCKS).
Proceedings of the 48th Design Automation Conference, 2011

Clock tree optimization for Electromagnetic Compatibility (EMC).
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

2010
Analysis of high-performance clock networks with RLC and transmission line effects.
Proceedings of the International Workshop on System Level Interconnect Prediction Workshop, 2010

Analysis of power supply induced jitter in actively de-skewed multi-core systems.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

Non-uniform clock mesh optimization with linear programming buffer insertion.
Proceedings of the 47th Design Automation Conference, 2010

2009
Teaching VLSI design in 10 weeks.
Proceedings of the IEEE International Conference on Microelectronic Systems Education, 2009

Fault-tolerant synthesis using non-uniform redundancy.
Proceedings of the 27th International Conference on Computer Design, 2009

Measuring and modeling variabilityusing low-cost FPGAs.
Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, 2009

2008
Clock tree synthesis with data-path sensitivity matching.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2006
Clock tree analysis and synthesis considering process parameters and variability.
PhD thesis, 2006

Clock buffer and wire sizing using sequential programming.
Proceedings of the 43rd Design Automation Conference, 2006

Process-induced skew reduction in nominal zero-skew clock trees.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
Partitioning Variables across Register Windows to Reduce Spill Code in a Low-Power Processor.
IEEE Trans. Computers, 2005

Gate sizing using incremental parameterized statistical timing analysis.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005

Optimization objectives and models of variation for statistical gate sizing.
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005

2003
A 16-bit mixed-signal microsystem with integrated CMOS-MEMS clock reference.
Proceedings of the 40th Design Automation Conference, 2003

Increasing the number of effective registers in a low-power processor using a windowed register file.
Proceedings of the International Conference on Compilers, 2003


  Loading...