Janusz Rajski

Orcid: 0000-0003-2124-447X

Affiliations:
  • Siemens Digital Industries Software, Wilsonville, OR, USA
  • Mentor Graphics Corporation, Wilsonville, OR, USA (former)


According to our database1, Janusz Rajski authored at least 283 papers between 1980 and 2024.

Collaborative distances:

Awards

IEEE Fellow

IEEE Fellow 2012, "For contributions to digital VLSI circuit testing and test compression".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
H<sub>2</sub>B: Crypto Hash Functions Based on Hybrid Ring Generators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., February, 2024

Generation of Two-Cycle Tests for Structurally Similar Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., February, 2024

2023
X-Masking for Deterministic In-System Tests.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

A Lightweight True Random Number Generator for Root of Trust Applications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., September, 2023

A New Static Compaction of Deterministic Test Sets.
IEEE Trans. Very Large Scale Integr. Syst., April, 2023

Hybrid Ring Generators for In-System Test Applications.
Proceedings of the IEEE European Test Symposium, 2023

2022
Efficient Test Compression Configuration Selection.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

LBIST for Automotive ICs With Enhanced Test Generation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Accurate Estimation of Test Pattern Counts for a Wide-Range of EDT Input/Output Channel Configurations.
Proceedings of the 40th IEEE VLSI Test Symposium, 2022

Fast Test Generation for Structurally Similar Circuits.
Proceedings of the 40th IEEE VLSI Test Symposium, 2022

Hardware Root of Trust for SSN-basedDFT Ecosystems.
Proceedings of the IEEE International Test Conference, 2022

DIST: Deterministic In-System Test with X-masking.
Proceedings of the IEEE International Test Conference, 2022

Test Generation for an Iterative Design Flow with RTL Changes.
Proceedings of the IEEE International Test Conference, 2022

X-Masking for In-System Deterministic Test.
Proceedings of the IEEE European Test Symposium, 2022

2021
Time and Area Optimized Testing of Automotive ICs.
IEEE Trans. Very Large Scale Integr. Syst., 2021

X-Tolerant Compactor maXpress for In-System Test Applications With Observation Scan.
IEEE Trans. Very Large Scale Integr. Syst., 2021

Autonomous Scan Patterns for Laser Voltage Imaging.
IEEE Trans. Emerg. Top. Comput., 2021

Defect-Oriented Test: Effectiveness in High Volume Manufacturing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

On Reduction of Deterministic Test Pattern Sets.
Proceedings of the IEEE International Test Conference, 2021

Convolutional Compaction-Based MRAM Fault Diagnosis.
Proceedings of the 26th IEEE European Test Symposium, 2021

2020
Deterministic Stellar BIST for Automotive ICs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Low Cost Hypercompression of Test Data.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Scan Integrity Tests for EDT Compression.
IEEE Des. Test, 2020

Effective Design of Layout-Friendly EDT Decompressor.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020

Prediction of Test Pattern Count and Test Data Volume for Scan Architectures under Different Input Channel Configurations.
Proceedings of the IEEE International Test Conference, 2020

X-Tolerant Tunable Compactor for In-System Test.
Proceedings of the IEEE International Test Conference, 2020

Streaming Scan Network (SSN): An Efficient Packetized Data Network for Testing of Complex SoCs.
Proceedings of the IEEE International Test Conference, 2020

Estimation of Test Data Volume for Scan Architectures with Different Numbers of Input Channels.
Proceedings of the IEEE International Test Conference in Asia, 2020

Efficient Prognostication of Pattern Count with Different Input Compression Ratios.
Proceedings of the IEEE European Test Symposium, 2020

Test Sequence-Optimized BIST for Automotive Applications.
Proceedings of the IEEE European Test Symposium, 2020

2019
Logic BIST With Capture-Per-Clock Hybrid Test Points.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

On Cyclic Scan Integrity Tests for EDT-based Compression.
Proceedings of the 37th IEEE VLSI Test Symposium, 2019

Test Time and Area Optimized BrST Scheme for Automotive ICs.
Proceedings of the IEEE International Test Conference, 2019

2018
Hardware Protection via Logic Locking Test Points.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Staggered ATPG with capture-per-cycle observation test points.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018

On New Class of Test Points and Their Applications.
Proceedings of the IEEE International Test Conference, 2018

Deterministic Stellar BIST for In-System Automotive Test.
Proceedings of the IEEE International Test Conference, 2018

DPPM Reduction Methods and New Defect Oriented Test Methods Applied to Advanced FinFET Technologies.
Proceedings of the IEEE International Test Conference, 2018

Hypercompression of Test Patterns.
Proceedings of the IEEE International Test Conference, 2018

2017
Trimodal Scan-Based Test Paradigm.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Embedded Deterministic Test Points.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Star-EDT: Deterministic On-Chip Scheme Using Compressed Test Patterns.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Full-scan LBIST with capture-per-cycle hybrid test points.
Proceedings of the IEEE International Test Conference, 2017

ROM fault diagnosis for O(n<sup>2</sup>) test algorithms.
Proceedings of the 22nd IEEE European Test Symposium, 2017

2016
On New Test Points for Compact Cell-Aware Tests.
IEEE Des. Test, 2016

Digital Testing of ICs for Automotive Applications.
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016

Test point insertion in hybrid test compression/LBIST architectures.
Proceedings of the 2016 IEEE International Test Conference, 2016

Minimal area test points for deterministic patterns.
Proceedings of the 2016 IEEE International Test Conference, 2016

Transistor stuck-on fault detection tests for digital CMOS circuits.
Proceedings of the 21th IEEE European Test Symposium, 2016

On Test Points Enhancing Hardware Security.
Proceedings of the 25th IEEE Asian Test Symposium, 2016

2015
Low-Power Programmable PRPG With Test Compression Capabilities.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Scan Test Bandwidth Management for Ultralarge-Scale System-on-Chip Architectures.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Isometric Test Data Compression.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Innovative practices session 11C: Advanced scan methodologies [3 presentations].
Proceedings of the 33rd IEEE VLSI Test Symposium, 2015

Using Boolean Tests to Improve Detection of Transistor Stuck-Open Faults in CMOS Digital Logic Circuits.
Proceedings of the 28th International Conference on VLSI Design, 2015

Clock-domain-aware test for improving pattern compression.
Proceedings of the VLSI Design, Automation and Test, 2015

Hybrid Hierarchical and Modular Tests for SoC Designs.
Proceedings of the 24th IEEE North Atlantic Test Workshop, 2015

A deterministic BIST scheme based on EDT-compressed test patterns.
Proceedings of the 2015 IEEE International Test Conference, 2015

Embedded deterministic test points for compact cell-aware tests.
Proceedings of the 2015 IEEE International Test Conference, 2015

Design for low test pattern counts.
Proceedings of the 52nd Annual Design Automation Conference, 2015

TestExpress - New Time-Effective Scan-Based Deterministic Test Paradigm.
Proceedings of the 24th IEEE Asian Test Symposium, 2015

On Improving Transition Test Set Quality to Detect CMOS Transistor Stuck-Open Faults.
Proceedings of the 24th IEEE Asian Test Symposium, 2015

2014
Erratum to "Test Time Reduction in EDT Bandwidth Management for SoC Designs".
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Cell-Aware Test.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Special session 8B - Panel: In-field testing of SoC devices: Which solutions by which players?
Proceedings of the 32nd IEEE VLSI Test Symposium, 2014

Test Compression Improvement with EDT Channel Sharing in SoC Designs.
Proceedings of the IEEE 23rd North Atlantic Test Workshop, 2014

Isometric test compression with low toggling activity.
Proceedings of the 2014 International Test Conference, 2014

Using dynamic shift to reduce test data volume in high-compression designs.
Proceedings of the 19th IEEE European Test Symposium, 2014

Cell-aware experiences in a high-quality automotive test suite.
Proceedings of the 19th IEEE European Test Symposium, 2014

Quality assurance in memory built-in self-test tools.
Proceedings of the 17th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2014

On Using Implied Values in EDT-based Test Compression.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

High-Speed Serial Embedded Deterministic Test for System-on-Chip Designs.
Proceedings of the 23rd IEEE Asian Test Symposium, 2014

Low Power Test Compression with Programmable Broadcast-Based Control.
Proceedings of the 23rd IEEE Asian Test Symposium, 2014

2013
Test Time Reduction in EDT Bandwidth Management for SoC Designs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

On Deploying Scan Chains for Data Storage in Test Compression Environment.
IEEE Des. Test, 2013

Embedded tutorials: Embedded tutorial 1: Cell-aware test-from gates to transistors.
Proceedings of the 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, 2013

Fault diagnosis of TSV-based interconnects in 3-D stacked designs.
Proceedings of the 2013 IEEE International Test Conference, 2013

On the generation of compact test sets.
Proceedings of the 2013 IEEE International Test Conference, 2013

EDT bandwidth management - Practical scenarios for large SoC designs.
Proceedings of the 2013 IEEE International Test Conference, 2013

New test compression scheme based on low power BIST.
Proceedings of the 18th IEEE European Test Symposium, 2013

On the Generation of Compact Deterministic Test Sets for BIST Ready Designs.
Proceedings of the 22nd Asian Test Symposium, 2013

2012
EDT Bandwidth Management in SoC Designs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

Test generator with preselected toggling for low power built-in self-test.
Proceedings of the 30th IEEE VLSI Test Symposium, 2012

Low power programmable PRPG with enhanced fault coverage gradient.
Proceedings of the 2012 IEEE International Test Conference, 2012

Cell-aware Production test results from a 32-nm notebook processor.
Proceedings of the 2012 IEEE International Test Conference, 2012

Low power test application with selective compaction in VLSI designs.
Proceedings of the 2012 IEEE International Test Conference, 2012

Bandwidth-aware test compression logic for SoC designs.
Proceedings of the 17th IEEE European Test Symposium, 2012

On Utilizing Test Cube Properties to Reduce Test Data Volume Further.
Proceedings of the 21st IEEE Asian Test Symposium, 2012

2011
BIST-Based Fault Diagnosis for Read-Only Memories.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Deterministic Clustering of Incompatible Test Cubes for Higher Power-Aware EDT Compression.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Fault Diagnosis with Orthogonal Compactors in Scan-Based Designs.
J. Electron. Test., 2011

Ring Generator: An Ultimate Linear Feedback Shift Register.
Computer, 2011

Low power compression utilizing clock-gating.
Proceedings of the 2011 IEEE International Test Conference, 2011

EDT channel bandwidth management in SoC designs with pattern-independent test access mechanism.
Proceedings of the 2011 IEEE International Test Conference, 2011

Cell-aware analysis for small-delay effects and production test results from different fault models.
Proceedings of the 2011 IEEE International Test Conference, 2011

Reduced ATE Interface for High Test Data Compression.
Proceedings of the 16th European Test Symposium, 2011

Fault Diagnosis in Memory BIST Environment with Non-march Tests.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

Low Test Data Volume Low Power At-Speed Delay Tests Using Clock-Gating.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

Power Aware Embedded Test.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

Low Power Decompressor and PRPG with Constant Value Broadcast.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

2010
High Volume Diagnosis in Memory BIST Based on Compressed Failure Data.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

On Compaction Utilizing Inter and Intra-Correlation of Unknown States.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

At-speed scan test with low switching activity.
Proceedings of the 28th IEEE VLSI Test Symposium, 2010

Low capture power at-speed test in EDT environment.
Proceedings of the 2011 IEEE International Test Conference, 2010

Dynamic channel allocation for higher EDT compression in SoC designs.
Proceedings of the 2011 IEEE International Test Conference, 2010

Low power compression of incompatible test cubes.
Proceedings of the 2011 IEEE International Test Conference, 2010

Diagnosis of failing scan cells through orthogonal response compaction.
Proceedings of the 15th European Test Symposium, 2010

Adaptive Low Shift Power Test Pattern Generator for Logic BIST.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

2009
Timing-Aware Multiple-Delay-Fault Diagnosis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Low-Power Scan Operation in Test Compression Environment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Highly X-Tolerant Selective Compaction of Test Responses.
Proceedings of the 27th IEEE VLSI Test Symposium, 2009

Defect Aware to Power Conscious Tests - The New DFT Landscape.
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009

High-Speed On-Chip Event Counters for Embedded Systems.
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009

Fault diagnosis for embedded read-only memories.
Proceedings of the 2009 IEEE International Test Conference, 2009

Compression based on deterministic vector clustering of incompatible test cubes.
Proceedings of the 2009 IEEE International Test Conference, 2009

We Have Got Compression, What Next?
Proceedings of the 14th IEEE European Test Symposium, 2009

A scalable method for the generation of small test sets.
Proceedings of the Design, Automation and Test in Europe, 2009

N-distinguishing Tests for Enhanced Defect Diagnosis.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

2008
X-Press: Two-Stage X-Tolerant Compactor With Programmable Selector.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Improving the Resolution of Single-Delay-Fault Diagnosis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Low-Power Test Data Application in EDT Environment Through Decompressor Freeze.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

High Throughput Diagnosis via Compression of Failure Data in Embedded Memory BIST.
Proceedings of the 2008 IEEE International Test Conference, 2008

Test Generation for Interconnect Opens.
Proceedings of the 2008 IEEE International Test Conference, 2008

Low Power Scan Shift and Capture in the EDT Environment.
Proceedings of the 2008 IEEE International Test Conference, 2008

ATPG Heuristics Dependant Observation Point Insertion for Enhanced Compaction and Data Volume Reduction.
Proceedings of the 23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 2008

Test Power Reduction by Blocking Scan Cell Outputs.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

2007
Fault Diagnosis With Convolutional Compactors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Enhancing delay fault coverage through low-power segmented scan.
IET Comput. Digit. Tech., 2007

Isolation of Failing Scan Cells through Convolutional Test Response Compaction.
J. Electron. Test., 2007

X-Tolerant Compactor with On-Chip Registration and Signature-Based Diagnosis.
IEEE Des. Test Comput., 2007

Scan-Based Tests with Low Switching Activity.
IEEE Des. Test Comput., 2007

Silicon Evaluation of Static Alternative Fault Models.
Proceedings of the 25th IEEE VLSI Test Symposium (VTS 2007), 2007

Low Power Embedded Deterministic Test.
Proceedings of the 25th IEEE VLSI Test Symposium (VTS 2007), 2007

Low Shift and Capture Power Scan Tests.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Analyzing Volume Diagnosis Results with Statistical Learning for Yield Improvement.
Proceedings of the 12th European Test Symposium, 2007

Logic Diagnosis and Yield Learning.
Proceedings of the 10th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2007), 2007

New Test Data Decompressor for Low Power Applications.
Proceedings of the 44th Design Automation Conference, 2007

Test Generation in the Presence of Timing Exceptions and Constraints.
Proceedings of the 44th Design Automation Conference, 2007

Test Generation for Timing-Critical Transition Faults.
Proceedings of the 16th Asian Test Symposium, 2007

2006
Analysis and methodology for multiple-fault diagnosis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

High Performance Dense Ring Generators.
IEEE Trans. Computers, 2006

Scan Tests with Multiple Fault Activation Cycles for Delay Faults.
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006

Modular Compactor of Test Responses.
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006

The Impacts of Untestable Defects on Transition Fault Testing.
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006

New Procedures to Identify Redundant Stuck-At Faults and Removal of Redundant Logic.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

Preferred Fill: A Scalable Method to Reduce Capture Power for Scan Based Designs.
Proceedings of the 2006 IEEE International Test Conference, 2006

X-Press Compactor for 1000x Reduction of Test Data.
Proceedings of the 2006 IEEE International Test Conference, 2006

Timing Defect Diagnosis in Presence of Crosstalk for Nanometer Technology.
Proceedings of the 2006 IEEE International Test Conference, 2006

A Rapid Yield Learning Flow Based on Production Integrated Layout-Aware Diagnosis.
Proceedings of the 2006 IEEE International Test Conference, 2006

Diagnosis with Limited Failure Information.
Proceedings of the 2006 IEEE International Test Conference, 2006

Delay Fault Diagnosis for Non-Robust Test.
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006

Convolutional Compactors with Variable Polynomials.
Proceedings of the 11th European Test Symposium, 2006

Test response compactor with programmable selector.
Proceedings of the 43rd Design Automation Conference, 2006

A test pattern ordering algorithm for diagnosis with truncated fail data.
Proceedings of the 43rd Design Automation Conference, 2006

Timing-Aware ATPG for High Quality At-speed Testing of Small Delay Defects.
Proceedings of the 15th Asian Test Symposium, 2006

At-Speed Testing with Timing Exceptions and Constraints-Case Studies.
Proceedings of the 15th Asian Test Symposium, 2006

2005
Delay-fault diagnosis using timing information.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

Finite memory test response compactors for embedded test applications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

Synthesis of X-Tolerant Convolutional Compactors.
Proceedings of the 23rd IEEE VLSI Test Symposium (VTS 2005), 2005

On Efficient X-Handling Using a Selective Compaction Scheme to Achieve High Test Response Compaction Ratios.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

Test compression - real issues and matching solutions.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

Diagnosis with convolutional compactors in presence of unknown states.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

Compressed pattern diagnosis for scan chain failures.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

Convolutional compaction-driven diagnosis of scan failures.
Proceedings of the 10th European Test Symposium, 2005

A unified fault model and test generation procedure for interconnect opens and bridges.
Proceedings of the 10th European Test Symposium, 2005

Defect Aware Test Patterns.
Proceedings of the 2005 Design, 2005

Embedded Test Technology - Brief History, Current Status, and Future Directions.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

Propagation delay fault: a new fault model to test delay faults.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
Embedded deterministic test.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Ring generators - new devices for embedded test applications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Planar High Performance Ring Generators.
Proceedings of the 22nd IEEE VLSI Test Symposium (VTS 2004), 2004

Embedded Test for Low Cost Manufacturing.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Fault Diagnosis in Designs with Convolutional Compactors.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

Realizing High Test Quality Goals with Smart Test Resource Usage.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

Affordable and Effective Screening of Delay Defects in ASICs using the Inline Resistance Fault Model.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

Diagnosis of Hold Time Defects.
Proceedings of the 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 2004

Nanometer Design: What are the Requirements for Manufacturing Test?
Proceedings of the 2004 Design, 2004

Compactor Independent Direct Diagnosis.
Proceedings of the 13th Asian Test Symposium (ATS 2004), 2004

2003
Primitive Polynomials Over GF(2) of Degree up to 660 with Uniformly Distributed Coefficients.
J. Electron. Test., 2003

Embedded Deterministic Test for Low-Cost Manufacturing.
IEEE Des. Test Comput., 2003

2D Test Sequence Generators.
IEEE Des. Test Comput., 2003

High-Frequency, At-Speed Scan Testing.
IEEE Des. Test Comput., 2003

High Speed Ring Generators and Compactors of Test Data.
Proceedings of the 21st IEEE VLSI Test Symposium (VTS 2003), 27 April, 2003

An Efficient and Effective Methodology on the Multiple Fault Diagnosis.
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003

Convolutional Compaction of Test Responses.
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003

Test Challenges of Nanometer Technology.
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003

Industrial Experience with Adoption of EDT for Low-Cost Test without Concessions.
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003

Impact of Multiple-Detect Test Patterns on Product Quality.
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003

Multiple Fault Diagnosis Using n-Detection Tests.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003

Test Data Compression and Compaction for Embedded Test of Nanometer Technology Designs.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003

On Compacting Test Response Data Containing Unknown Values.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003

2002
Innovations in Test Automation.
Proceedings of the 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April, 2002

Improved Algorithms for Constructive Multi-Phase Test Point Insertion for Scan Based BIST.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

Embedded Deterministic Test for Low-Cost Manufacturing Test.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002

Conflict driven techniques for improving deterministic test pattern generation.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

Finding a Common Fault Response for Diagnosis during Silicon Debug.
Proceedings of the 2002 Design, 2002

2001
Testing Schemes for FIR Filter Structures.
IEEE Trans. Computers, 2001

Enabling Embedded Memory Diagnosis via Test Response Compression.
Proceedings of the 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April, 2001

On static test compaction and test pattern ordering for scan designs.
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001

Test response compression and bitmap encoding for embedded memories in manufacturing process monitoring.
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001

DFT for High-Quality Low Cost Manufacturing Test.
Proceedings of the 10th Asian Test Symposium (ATS 2001), 19-21 November 2001, Kyoto, Japan, 2001

2000
Star test: the theory and its applications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

Automated synthesis of phase shifters for built-in self-testapplications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

Cellular automata-based test pattern generators with phase shifters.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

Linear Independence as Evaluation Criterion for Two-Dimensional Test Pattern Generators.
Proceedings of the 18th IEEE VLSI Test Symposium (VTS 2000), 30 April, 2000

Improving the Proportion of At-Speed Tests in Scan BIST.
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000

Self-test methodology for at-speed test of crosstalk in chip interconnects.
Proceedings of the 37th Conference on Design Automation, 2000

1999
Diagnosis of Scan Cells in BIST Environment.
IEEE Trans. Computers, 1999

Testing of telecommunications hardware [Guest Editorial].
IEEE Commun. Mag., 1999

Comparative Study of CA-based PRPGs and LFSRs with Phase Shifters.
Proceedings of the 17th IEEE VLSI Test Symposium (VTS '99), 1999

Built-In Self-Test for Systems on Silicon.
Proceedings of the 12th International Conference on VLSI Design (VLSI Design 1999), 1999

STAR-ATPG: a high speed test pattern generator for large scan designs.
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999

Synthesis of pattern generators based on cellular automata with phase shifters.
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999

Logic BIST for large industrial designs: real issues and case studies.
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999

1998
Test Data Decompression for Multiple Scan Designs with Boundary Scan.
IEEE Trans. Computers, 1998

Design of Phase Shifters for BIST Applications.
Proceedings of the 16th IEEE VLSI Test Symposium (VTS '98), 28 April, 1998

Automated synthesis of large phase shifters for built-in self-test.
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998

Modular logic built-in self-test for IP cores.
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998

A Fast Sequential Learning Technique for Real Circuits with Application to Enhancing ATPG Performance.
Proceedings of the 35th Conference on Design Automation, 1998

1997
Arithmetic built-in self-test for DSP cores.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

Behavior and testability preservation under the retiming transformation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

Design of Testable Multipliers for Fixed-Width Data Paths.
IEEE Trans. Computers, 1997

Systems On Silicon: Design and Test Challenges.
Proceedings of the 15th IEEE VLSI Test Symposium (VTS'97), 1997

Scan-Encoded Test Pattern Generation for BIST.
Proceedings of the Proceedings IEEE International Test Conference 1997, 1997

Fault Diagnosis in Scan-Based BIST.
Proceedings of the Proceedings IEEE International Test Conference 1997, 1997

Parameterizable Testing Scheme for FIR Filters.
Proceedings of the Proceedings IEEE International Test Conference 1997, 1997

STARBIST: Scan Autocorrelated Random Pattern Generation.
Proceedings of the 34st Conference on Design Automation, 1997

1996
A complexity analysis of sequential ATPG.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

On Linear Dependencies in Subspaces of LFSR-Generated Sequences.
IEEE Trans. Computers, 1996

Arithmetic Additive Generators of Pseudo-Exhaustive Test Patterns.
IEEE Trans. Computers, 1996

A self-driven test structure for pseudorandom testing of non-scan sequential circuits.
Proceedings of the 14th IEEE VLSI Test Symposium (VTS'96), April 28, 1996

Hardware-Software Co-Design for Test: It's the Last Straw!
Proceedings of the 14th IEEE VLSI Test Symposium (VTS'96), April 28, 1996

Two-Dimensional Test Data Decompressor for Multiple Scan Designs.
Proceedings of the Proceedings IEEE International Test Conference 1996, 1996

Constructive Multi-Phase Test Point Insertion for Scan-Based BIST.
Proceedings of the Proceedings IEEE International Test Conference 1996, 1996

Multiplicative Window Generators of Pseudo-random Test Vectors.
Proceedings of the 1996 European Design and Test Conference, 1996

1995
Delay-fault testability preservation of the concurrent decomposition and factorization transformations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

Built-In Test for Circuits with Scan Based on Reseeding of Multiple-Polynomial Linear Feedback Shift Registers.
IEEE Trans. Computers, 1995

Testability Implications of Performance-Driven Logic Synthesis.
IEEE Des. Test Comput., 1995

Decompression of test data using variable-length seed LFSRs.
Proceedings of the 13th IEEE VLSI Test Symposium (VTS'95), April 30, 1995

Fault coverage analysis of RAM test algorithms.
Proceedings of the 13th IEEE VLSI Test Symposium (VTS'95), April 30, 1995

Arithmetic built-in self test for high-level synthesis.
Proceedings of the 13th IEEE VLSI Test Symposium (VTS'95), April 30, 1995

Hierarchical Functional-Fault Simulation for High-Level Synthesis.
Proceedings of the Proceedings IEEE International Test Conference 1995, 1995

On testable multipliers for fixed-width data path architectures.
Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, 1995

Complexity of sequential ATPG.
Proceedings of the 1995 European Design and Test Conference, 1995

Software Accelerated Functional Fault Simulation for Data-Path Architectures.
Proceedings of the 32st Conference on Design Automation, 1995

On Test Set Preservation of Retimed Circuits.
Proceedings of the 32st Conference on Design Automation, 1995

1994
On necessary and nonconflicting assignments in algorithmic test pattern generation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994

Test pattern generation based on arithmetic operations.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994

1993
Test responses compaction in accumulators with rotate carry adders.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

The dynamic reduction of fault simulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

Recursive Pseudoexhaustive Test Pattern Generation.
IEEE Trans. Computers, 1993

Accumulator-Based Compaction of Test Responses.
IEEE Trans. Computers, 1993

1992
The testability-preserving concurrent decomposition and factorization of Boolean expressions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

BIST of PCB interconnects using boundary-scan architecture.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

Empirical Failure Analysis and Validation of Fault Models in CMOS VLSI Circuits.
IEEE Des. Test Comput., 1992

Recent advances in logic synthesis with testability.
Proceedings of the 10th IEEE VLSI Test Symposium (VTS'92), 1992

Generation of Vector Patterns Through Reseeding of Multipe-Polynominal Linear Feedback Shift Registers.
Proceedings of the Proceedings IEEE International Test Conference 1992, 1992

1991
On the diagnostic properties of linear feedback shift registers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1991

Cube-Contained Random Patterns and Their Applications to the Complete Testing of Synthesized Multi-Level Circuits.
Proceedings of the Proceedings IEEE International Test Conference 1991, 1991

Generation of Correlated Random Patterns for the Complete Testing of Synthesized Multi-level Circuits.
Proceedings of the 28th Design Automation Conference, 1991

1990
A method of fault simulation based on stem regions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1990

Testability preserving transformations in multi-level logic synthesis.
Proceedings of the Proceedings IEEE International Test Conference 1990, 1990

A method to calculate necessary assignments in algorithmic test pattern generation.
Proceedings of the Proceedings IEEE International Test Conference 1990, 1990

Empirical failure analysis and validation of fault models in CMOS VLSI.
Proceedings of the Proceedings IEEE International Test Conference 1990, 1990

A Method for Concurrent Decomposition and Factorization of Boolean Expressions.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990

On the Diagnostic Resolution of Signature Analysis.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990

1989
Testing of Glue Logic Interconnects Using Boundary Scan Architecture.
Proceedings of the Proceedings International Test Conference 1989, 1989

1988
A method of fault analysis for test generation and fault diagnosis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1988

An Algorithmic Branch and Bound Method for PLA Test Pattern Generation.
Proceedings of the Proceedings International Test Conference 1988, 1988

Testing and Diagnosis of Interconnects Using Boundary Scan Architecture.
Proceedings of the Proceedings International Test Conference 1988, 1988

Stuck-Open and Transition Fault Testing in CMOS Complex Gates.
Proceedings of the Proceedings International Test Conference 1988, 1988

On Multiple Fault Coverage and Aliasing Probability Measures.
Proceedings of the Proceedings International Test Conference 1988, 1988

A self-reconfiguration scheme for fault-tolerant VLSI processor arrays.
Proceedings of the Computer Design: VLSI in Computers and Processors, 1988

A fault simulation method based on stem regions.
Proceedings of the 1988 IEEE International Conference on Computer-Aided Design, 1988

Parallel PLA fault simulation based on Boolean vector operations.
Proceedings of the 1988 IEEE International Conference on Computer-Aided Design, 1988

GEMINI-a logic system for fault diagnosis based on set functions.
Proceedings of the Eighteenth International Symposium on Fault-Tolerant Computing, 1988

A reconvergent fanout analysis for efficient exact fault simulation of combinational circuits.
Proceedings of the Eighteenth International Symposium on Fault-Tolerant Computing, 1988

1987
Testing and Applications of Inverter-Free PLAs.
IEEE Des. Test, 1987

1986
The Influence of Masking Phenomenon on Coverage Capability of Single Fault Test Sets in PLA's.
IEEE Trans. Computers, 1986

1985
Combinatorial Approach to Multiple Contact Faults Coverage in Programmable Logic Arrays.
IEEE Trans. Computers, 1985

Testing Properties and Applications of Inverter-Free PLA's.
Proceedings of the Proceedings International Test Conference 1985, 1985

1984
The detection of small size multiple faults by single fault test sets n programmable logic arrays.
Proceedings of the Fehlertolerierende Rechensysteme, 1984

1980
The Effect of Choosing the Switches for Rearrangements in Switching Networks.
IEEE Trans. Commun., 1980


  Loading...