Raúl Camposano

According to our database1, Raúl Camposano authored at least 64 papers between 1979 and 2020.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Awards

IEEE Fellow

IEEE Fellow 2000, "For contributions to behavioral synthesis of integrated circuits and systems.".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2020
Wolfgang Rosenstiel.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

2013
Device physics aware 3D electromagnetic simulation of Through-Silicon-Vias in system modeling.
Proceedings of the 2013 IEEE International 3D Systems Integration Conference (3DIC), 2013

2012
Moore meets maxwell.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
Design technology and the cloud.
Proceedings of the 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2011

2010
Does IC design have a future in the clouds?
Proceedings of the 47th Design Automation Conference, 2010

2006
Adding Manufacturability to the Quality of Results.
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006

DFM: where's the proof of value?
Proceedings of the 43rd Design Automation Conference, 2006

EDA Challenges in Nano-scale Technology.
Proceedings of the IEEE 2006 Custom Integrated Circuits Conference, 2006

2005
Guest Editors' Introduction: DFM Drives Changes in Design Flow.
IEEE Des. Test Comput., 2005

Structured/platform ASIC apprentices: which platform will survive your board room?
Proceedings of the 42nd Design Automation Conference, 2005

Foundries, EDA vendors, and designers: who shoulders the blame when a design doesn't work in the nano-scale and wireless era?
Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005

2004
Will the ASIC survive?
Proceedings of the 17th Annual Symposium on Integrated Circuits and Systems Design, 2004

Design automation for mask programmable fabrics.
Proceedings of the 41th Design Automation Conference, 2004

Business models in IP, software licensing, and services.
Proceedings of the 41th Design Automation Conference, 2004

2003
Keynote Speaker.
Proceedings of the 2003 International Symposium on Physical Design, 2003

Industry best practices in embedded software.
Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2003

2002
From IP to Platforms.
Proceedings of the 20th International Conference on Computer Design (ICCD 2002), 2002

Design Automation for Deepsubmicron: Present and Future.
Proceedings of the 2002 Design, 2002

What's the next EDA driver?
Proceedings of the 39th Design Automation Conference, 2002

2001
The Expanding Use of Formal Techniques in Electronic Design.
Proceedings of the 2nd International Symposium on Quality of Electronic Design (ISQED 2001), 2001

Design Technology for Systems-on-Chip.
Proceedings of the SOC Design Methodologies, 2001

The programmable platform: does one size fit all?
Proceedings of the Conference on Design, Automation and Test in Europe, 2001

2000
An industrial view of electronic design automation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

Electronic design automation at the turn of the century: accomplishments and vision of the future.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

IP Reuse in System on a Chip Design.
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000

IP Reuse in the System on a Chip Era.
Proceedings of the 13th International Symposium on System Synthesis, 2000

Design closure (panel session): hope or hype?
Proceedings of the 37th Conference on Design Automation, 2000

Timing closure: the solution and its problems.
Proceedings of ASP-DAC 2000, 2000

1999
HW and SW in Embedded System Design: Loveboat, Shipwreck, or Ships Passing in the Night.
Proceedings of the 36th Conference on Design Automation, 1999

1997
Partitioning and analysis of static digital CMOS circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

VLIW Processor Codesign for Video Processing.
Des. Autom. Embed. Syst., 1997

The quarter micron challenge: intergrating physical and logic design.
Proceedings of the 1997 International Symposium on Physical Design, 1997

Modeling and synthesis of behavior, control and dataflow (tutorial).
Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, 1997

1996
Embedded system design.
Des. Autom. Embed. Syst., 1996

A Design Exploration Environment.
Proceedings of the 6th Great Lakes Symposium on VLSI (GLS-VLSI '96), 1996

Behavioral Synthesis.
Proceedings of the 33st Conference on Design Automation, 1996

1995
Active timing multilevel fault-simulation with switch-level accuracy.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

WWW based structuring of codesigns.
Proceedings of the 8th International Symposium on System Synthesis (ISSS 1995), 1995

Tool Integration and Construction Using Generated Graph-Based Design Representations.
Proceedings of the 32st Conference on Design Automation, 1995

1994
Design of an Embedded Video Compression System - A Quantitative Approach.
Proceedings of the Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1994

Optimization of hierarchical designs using partitioning and resynthesis.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994

Design Reuse: Fact or Fiction? (Panel).
Proceedings of the 31st Conference on Design Automation, 1994

Design flow for hardware/software cosynthesis of a video compression system.
Proceedings of the Third International Workshop on Hardware/Software Codesign, 1994

1993
Fault behavior and testability of asynchronous CMOS circuits.
Microprocess. Microprogramming, 1993

Fast Hierarchical Multi-Level Fault Simulation of Sequential Circuits with Switch-Level Accuracy.
Proceedings of the 30th Design Automation Conference. Dallas, 1993

1992
Allocation algorithms based on path analysis.
Integr., 1992

The Future of Embedded System Design.
Proceedings of the Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1992

1991
Path-based scheduling for synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1991

Scheduling under resource constraints and module assignment.
Integr., 1991

VHDL as Input for High-Level Synthesis.
IEEE Des. Test Comput., 1991

Area and performance optimizations in path-based scheduling.
Proceedings of the conference on European design automation, 1991

Data-Path Synthesis Using Path Analysis.
Proceedings of the 28th Design Automation Conference, 1991

1990
The high-level synthesis of digital systems.
Proc. IEEE, 1990

From Behavior to Structure: High-Level Synthesis.
IEEE Des. Test Comput., 1990

Redesign using state splitting.
Proceedings of the European Design Automation Conference, 1990

1989
Synthesizing circuits from behavioural descriptions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1989

Behavior-Preserving Transformations for High-Level Synthesis.
Proceedings of the Hardware Specification, 1989

1988
Tutorial on High-Level Synthesis.
Proceedings of the 25th ACM/IEEE Conference on Design Automation, 1988

Design Process Model in the Yorktown Silicon Compiler.
Proceedings of the 25th ACM/IEEE Conference on Design Automation, 1988

1985
Synthesis techniques for digital systems design.
Proceedings of the 22nd ACM/IEEE conference on Design automation, 1985

1984
Automatischer Entwurf hochintegrierter Schaltungen aus Beschreibungen der Schaltungsfunktion.
Proceedings of the GI - 14. Jahrestagung, Braunschweig, 2.-4. Oktober 1984, Proceedings, 1984

1982
Realisierungsformen für den hierarchischen, modularen Entwurf von digitalen Systemen mit gesteuerten Netzen.
PhD thesis, 1982

1981
Concurrency in Functional Descriptions.
Proceedings of the Application and Theory of Petri Nets, 1981

1979
Digital Systems Design with Nets: An Example.
Proceedings of the Microcomputing, 1979


  Loading...