Maksim Jenihhin

Orcid: 0000-0001-8165-9592

According to our database1, Maksim Jenihhin authored at least 112 papers between 2003 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
A Systematic Literature Review on Hardware Reliability Assessment Methods for Deep Neural Networks.
ACM Comput. Surv., June, 2024

SAFFIRA: a Framework for Assessing the Reliability of Systolic-Array-Based DNN Accelerators.
CoRR, 2024

AdAM: Adaptive Fault-Tolerant Approximate Multiplier for Edge DNN Accelerators.
CoRR, 2024

Exploration of Activation Fault Reliability in Quantized Systolic Array-Based DNN Accelerators.
CoRR, 2024

Structural Decision Diagrams in Digital Test - Theory and Applications
Springer, ISBN: 978-3-031-44733-4, 2024

2023
An automated method for mining high-quality assertion sets.
Microprocess. Microsystems, March, 2023

Unsupervised Recycled FPGA Detection Using Symmetry Analysis.
CoRR, 2023

Applying RIS-Based Communication for Collaborative Computing in a Swarm of Drones.
IEEE Access, 2023

Special Session: Approximation and Fault Resiliency of DNN Accelerators.
Proceedings of the 41st IEEE VLSI Test Symposium, 2023

Analyzing Side-Channel Attack Vulnerabilities at RTL.
Proceedings of the 24th IEEE Latin American Test Symposium, 2023

Holistic IJTAG-based External and Internal Fault Monitoring in UAVs.
Proceedings of the 24th IEEE Latin American Test Symposium, 2023

DeepAxe: A Framework for Exploration of Approximation and Reliability Trade-offs in DNN Accelerators.
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023

ML-Based Online Design Error Localization for RISC-V Implementations.
Proceedings of the 29th International Symposium on On-Line Testing and Robust System Design, 2023

DeepVigor: VulnerabIlity Value RanGes and FactORs for DNNs' Reliability Assessment.
Proceedings of the IEEE European Test Symposium, 2023

On-Chip Sensors Data Collection and Analysis for SoC Health Management.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2023

APPRAISER: DNN Fault Resilience Analysis Employing Approximation Errors.
Proceedings of the 26th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2023

Enhancing Fault Resilience of QNNs by Selective Neuron Splitting.
Proceedings of the 5th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2023

2022
A Survey on UAV Computing Platforms: A Hardware Reliability Perspective.
Sensors, 2022

On BTI Aging Rejuvenation in Memory Address Decoders.
Proceedings of the 23rd IEEE Latin American Test Symposium, 2022

High-Level Fault Diagnosis in RISC Processors with Implementation-Independent Functional Test.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022

A Novel Fault-Tolerant Logic Style with Self-Checking Capability.
Proceedings of the 28th IEEE International Symposium on On-Line Testing and Robust System Design, 2022

MLC: A Machine Learning Based Checker For Soft Error Detection In Embedded Processors.
Proceedings of the 28th IEEE International Symposium on On-Line Testing and Robust System Design, 2022

2021
Fast and Fair Computation Offloading Management in a Swarm of Drones Using a Rating-Based Federated Learning Approach.
IEEE Access, 2021

On Antagonism Between Side-Channel Security and Soft-Error Reliability in BNN Inference Engines.
Proceedings of the 29th IFIP/IEEE International Conference on Very Large Scale Integration, 2021

A Methodology for Automated Mining of Compact and Accurate Assertion Sets.
Proceedings of the IEEE Nordic Circuits and Systems Conference, NorCAS 2021, Oslo, 2021

Edge-to-Fog Collaborative Computing in a Swarm of Drones.
Proceedings of the Advances in Model and Data Engineering in the Digitalization Era, 2021

Gate-Level Graph Representation Learning: A Step Towards the Improved Stuck-at Faults Analysis.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

Implementation-Independent Test Generation for a Large Class of Faults in RISC Processor Modules.
Proceedings of the 24th Euromicro Conference on Digital System Design, 2021

JÄNES: A NAS Framework for ML-based EDA Applications.
Proceedings of the 36th IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2021

Modeling Soft-Error Reliability Under Variability.
Proceedings of the 36th IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2021

2020
Calculation of probabilistic testability measures for digital circuits with Structurally Synthesized BDDs.
Microprocess. Microsystems, 2020

High-Level Implementation-Independent Functional Software-Based Self-Test for RISC Processors.
J. Electron. Test., 2020

Special Session: AutoSoC - A Suite of Open-Source Automotive SoC Benchmarks.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020

Early RTL Analysis for SCA Vulnerability in Fuzzy Extractors of Memory-Based PUF Enabled Devices.
Proceedings of the 28th IFIP/IEEE International Conference on Very Large Scale Integration, 2020

Composing Graph Theory and Deep Neural Networks to Evaluate SEU Type Soft Error Effects.
Proceedings of the 9th Mediterranean Conference on Embedded Computing, 2020

Wafer-Level Die Re-Test Success Prediction Using Machine Learning.
Proceedings of the IEEE Latin-American Test Symposium, 2020

Representing Gate-Level SET Faults by Multiple SEU Faults at RTL.
Proceedings of the 26th IEEE International Symposium on On-Line Testing and Robust System Design, 2020

Implementation-Independent Functional Test for Transition Delay Faults in Microprocessors.
Proceedings of the 23rd Euromicro Conference on Digital System Design, 2020

A DFT Scheme to Improve Coverage of Hard-to-Detect Faults in FinFET SRAMs.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

RESCUE: Interdependent Challenges of Reliability, Security and Quality in Nanoelectronic Systems.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
Understanding multidimensional verification: Where functional meets non-functional.
Microprocess. Microsystems, 2019

High-Level Combined Deterministic and Pseudoexhuastive Test Generation for RISC Processors.
CoRR, 2019

An optimization framework for dynamic pipeline management in computing systems.
Comput. Electr. Eng., 2019

On Test Generation for Microprocessors for Extended Class of Functional Faults.
Proceedings of the VLSI-SoC: New Technology Enabler, 2019

Implementation-Independent Functional Test Generation for MSC Microprocessors.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

On NBTI-induced Aging Analysis in IEEE 1687 Reconfigurable Scan Networks.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

The Validation of Graph Model-Based, Gate Level Low-Dimensional Feature Data for Machine Learning Applications.
Proceedings of the 2019 IEEE Nordic Circuits and Systems Conference, 2019

Accelerating Transient Fault Injection Campaigns by using Dynamic HDL Slicing.
Proceedings of the 2019 IEEE Nordic Circuits and Systems Conference, 2019

Mixed-level identification of fault redundancy in microprocessors.
Proceedings of the IEEE Latin American Test Symposium, 2019

Software-Based Mitigation for Memory Address Decoder Aging.
Proceedings of the IEEE Latin American Test Symposium, 2019

PASCAL: Timing SCA Resistant Design and Verification Flow.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

Application Specific True Critical Paths Identification in Sequential Circuits.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

Efficient Fault Injection based on Dynamic HDL Slicing Technique.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

An Accelerator-based Architecture Utilizing an Efficient Memory Link for Modern Computational Requirements.
Proceedings of the 2019 IEEE East-West Design & Test Symposium, 2019

High-Level Combined Deterministic and Pseudo-exhuastive Test Generation for RISC Processors.
Proceedings of the 24th IEEE European Test Symposium, 2019

True Path Tracing in Structurally Synthesized BDDs for Testability Analysis of Digital Circuits.
Proceedings of the 22nd Euromicro Conference on Digital System Design, 2019

Challenges of Reliability Assessment and Enhancement in Autonomous Systems.
Proceedings of the 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2019

New categories of Safe Faults in a processor-based Embedded System.
Proceedings of the 22nd IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2019

Modeling Gate-Level Abstraction Hierarchy Using Graph Convolutional Neural Networks to Predict Functional De-Rating Factors.
Proceedings of the NASA/ESA Conference on Adaptive Hardware and Systems, 2019

2018
Fast identification of true critical paths in sequential circuits.
Microelectron. Reliab., 2018

Upgrading QoSinNoC: Efficient Routing for Mixed-Criticality Applications and Power Analysis.
Proceedings of the IFIP/IEEE International Conference on Very Large Scale Integration, 2018

Hierarchical Timing-Critical Paths Analysis in Sequential Circuits.
Proceedings of the 28th International Symposium on Power and Timing Modeling, 2018

Towards Multidimensional Verification: Where Functional Meets Non-Functional.
Proceedings of the 2018 IEEE Nordic Circuits and Systems Conference, 2018

Timing-critical path analysis with structurally synthesized BDDs.
Proceedings of the 7th Mediterranean Conference on Embedded Computing, 2018

RESCUE: Cross-Sectoral PhD Training Concept for Interdependent Reliability, Security and Quality.
Proceedings of the 12th European Workshop on Microelectronics Education, 2018

Software-Level TMR Approach for On-Board Data Processing in Space Applications.
Proceedings of the 21st IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2018

QoSinNoC: Analysis of QoS-Aware NoC Architectures for Mixed-Criticality Applications.
Proceedings of the 21st IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2018

2017
Multi-Fragment Markov Model Guided Online Test Generation for MPSoC.
Proceedings of the 13th International Conference on ICT in Education, 2017

A scalable technique to identify true critical paths in sequential circuits.
Proceedings of the 20th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2017

BASTION: Board and SoC test instrumentation for ageing and no failure found.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
Identification and Rejuvenation of NBTI-Critical Logic Paths in Nanoscale Circuits.
J. Electron. Test., 2016

Gate-level modelling of NBTI-induced delays under process variations.
Proceedings of the 17th Latin-American Test Symposium, 2016

Designing reliable cyber-physical systems overview associated to the special session at FDL'16.
Proceedings of the 2016 Forum on Specification and Design Languages, 2016

Universal mitigation of NBTI-induced aging by design randomization.
Proceedings of the 2016 IEEE East-West Design & Test Symposium, 2016

Rejuvenation of NBTI-Impacted Processors Using Evolutionary Generation of Assembler Programs.
Proceedings of the 25th IEEE Asian Test Symposium, 2016

2015
Rejuvenation of nanoscale logic at NBTI-critical paths using evolutionary TPG.
Proceedings of the 16th Latin-American Test Symposium, 2015

FSMD RTL design manipulation for clock interface abstraction.
Proceedings of the 2015 International Conference on Advances in Computing, 2015

SPICE-Inspired Fast Gate-Level Computation of NBTI-induced Delays in Nanoscale Logic.
Proceedings of the 18th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2015

SystemC-Based Loose Models for Simulation Speed-Up by Abstraction of RTL IP Cores.
Proceedings of the 18th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2015

2014
Automated Design Error Localization in RTL Designs.
IEEE Des. Test, 2014

Hierarchical identification of NBTI-critical gates in nanoscale logic.
Proceedings of the 15th Latin American Test Workshop, 2014

Diagnostic Test Generation for Statistical Bug Localization Using Evolutionary Computation.
Proceedings of the Applications of Evolutionary Computation - 17th European Conference, 2014

2013
Automated design error debug using high-level decision diagrams and mutation operators.
Microprocess. Microsystems, 2013

Assessment of diagnostic test for automated bug localization.
Proceedings of the 14th Latin American Test Workshop, 2013

Performance analysis of cosimulating processor core in VHDL and SystemC.
Proceedings of the International Conference on Advances in Computing, 2013

Identifying NBTI-Critical Paths in Nanoscale Logic.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013

Extensible open-source framework for translating RTL VHDL IP cores to SystemC.
Proceedings of the 16th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2013

2012
Identifying Untestable Faults in Sequential Circuits Using Test Path Constraints.
J. Electron. Test., 2012

On the Reuse of TLM Mutation Analysis at RTL.
J. Electron. Test., 2012

A scalable model based RTL framework zamiaCAD for static analysis.
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012

Localization of Bugs in Processor Designs Using zamiaCAD Framework.
Proceedings of the 13th International Workshop on Microprocessor Test and Verification, 2012

PSL assertion checkers synthesis with ASM based HLS tool ABELITE.
Proceedings of the 13th Latin American Test Workshop, 2012

Diagnosis and correction of multiple design errors using critical path tracing and mutation analysis.
Proceedings of the 13th Latin American Test Workshop, 2012

Combining dynamic slicing and mutation operators for ESL correction.
Proceedings of the 17th IEEE European Test Symposium, 2012

2011
Mutation analysis for SystemC designs at TLM.
Proceedings of the 12th Latin American Test Workshop, 2011

EEG Analyzer prototype based on FPGA.
Proceedings of the 7th International Symposium on Image and Signal Processing and Analysis, 2011

Automated test bench generation for high-level synthesis flow ABELITE.
Proceedings of the 9th East-West Design & Test Symposium, 2011

Constraint-Based Hierarchical Untestability Identification for Synchronous Sequential Circuits.
Proceedings of the 16th European Test Symposium, 2011

2010
Mutation analysis with high-level decision diagrams.
Proceedings of the 11th Latin American Test Workshop, 2010

An approach for PSL assertion coverage analysis with high-level decision diagrams.
Proceedings of the 2010 East-West Design & Test Symposium, 2010

Constraint-based test pattern generation at the Register-Transfer Level.
Proceedings of the 13th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2010

2009
PSL Assertion Checking Using Temporally Extended High-Level Decision Diagrams.
J. Electron. Test., 2009

High-Level Decision Diagrams based coverage metrics for verification and test.
Proceedings of the 10th Latin American Test Workshop, 2009

2008
Mixed hierarchical-functional fault models for targeting sequential cores.
J. Syst. Archit., 2008

Temporally Extended High-Level Decision Diagrams for PSL Assertions Simulation.
Proceedings of the 13th European Test Symposium, 2008

Hierarchical Analysis of Short Defects between Metal Lines in CMOS IC.
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008

Hierarchical Calculation of Malicious Faults for Evaluating the Fault-Tolerance.
Proceedings of the 4th IEEE International Symposium on Electronic Design, 2008

Code Coverage Analysis using High-Level Decision Diagrams.
Proceedings of the 11th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2008), 2008

2007
Layout to Logic Defect Analysis for Hierarchical Test Generation.
Proceedings of the 10th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2007), 2007

2006
Test Time Minimization for Hybrid BIST of Core-Based Systems.
J. Comput. Sci. Technol., 2006

2004
Hybrid BIST Optimization for Core-based Systems with Test Pattern Broadcasting.
Proceedings of the 2nd IEEE International Workshop on Electronic Design, 2004

2003
Hybrid BIST Time Minimization for Core-Based Systems with STUMPS Architecture.
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003


  Loading...