Raimund Ubar

Orcid: 0000-0001-8186-4385

According to our database1, Raimund Ubar authored at least 180 papers between 1994 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Structural Decision Diagrams in Digital Test - Theory and Applications
Springer, ISBN: 978-3-031-44733-4, 2024

2022
High-Level Fault Diagnosis in RISC Processors with Implementation-Independent Functional Test.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022

2021
Implementation-Independent Test Generation for a Large Class of Faults in RISC Processor Modules.
Proceedings of the 24th Euromicro Conference on Digital System Design, 2021

2020
Calculation of probabilistic testability measures for digital circuits with Structurally Synthesized BDDs.
Microprocess. Microsystems, 2020

High-Level Implementation-Independent Functional Software-Based Self-Test for RISC Processors.
J. Electron. Test., 2020

Representing Gate-Level SET Faults by Multiple SEU Faults at RTL.
Proceedings of the 26th IEEE International Symposium on On-Line Testing and Robust System Design, 2020

Implementation-Independent Functional Test for Transition Delay Faults in Microprocessors.
Proceedings of the 23rd Euromicro Conference on Digital System Design, 2020

2019
High-Level Combined Deterministic and Pseudoexhuastive Test Generation for RISC Processors.
CoRR, 2019

On Test Generation for Microprocessors for Extended Class of Functional Faults.
Proceedings of the VLSI-SoC: New Technology Enabler, 2019

Implementation-Independent Functional Test Generation for MSC Microprocessors.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

High-Level Functional Test Generation for Microprocessor Modules.
Proceedings of the 26th International Conference on Mixed Design of Integrated Circuits and Systems, 2019

Equivalent Transformations of Structurally Synthesized BDDs and Applications.
Proceedings of the 8th Mediterranean Conference on Embedded Computing, 2019

Mixed-level identification of fault redundancy in microprocessors.
Proceedings of the IEEE Latin American Test Symposium, 2019

Application Specific True Critical Paths Identification in Sequential Circuits.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

High-Level Combined Deterministic and Pseudo-exhuastive Test Generation for RISC Processors.
Proceedings of the 24th IEEE European Test Symposium, 2019

True Path Tracing in Structurally Synthesized BDDs for Testability Analysis of Digital Circuits.
Proceedings of the 22nd Euromicro Conference on Digital System Design, 2019

New categories of Safe Faults in a processor-based Embedded System.
Proceedings of the 22nd IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2019

2018
Fast identification of true critical paths in sequential circuits.
Microelectron. Reliab., 2018

Hierarchical Timing-Critical Paths Analysis in Sequential Circuits.
Proceedings of the 28th International Symposium on Power and Timing Modeling, 2018

Parallel Critical Path Tracing Fault Simulation in Sequential Circuits.
Proceedings of the 25th International Conference "Mixed Design of Integrated Circuits and System", 2018

Timing-critical path analysis with structurally synthesized BDDs.
Proceedings of the 7th Mediterranean Conference on Embedded Computing, 2018

Parallel Pseudo-Exhaustive Testing of Array Multipliers with Data-Controlled Segmentation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Replication-Based Deterministic Testing of 2-Dimensional Arrays with Highly Interrelated Cells.
Proceedings of the 21st IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2018

Combined pseudo-exhaustive and deterministic testing of array multipliers.
Proceedings of the IEEE International Conference on Automation, 2018

2017
Modeling and simulation of circuits with shared structurally synthesized BDDs.
Microprocess. Microsystems, 2017

High-level test generation for processing elements in many-core systems.
Proceedings of the 12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, 2017

Automated software-based self-test generation for microprocessors.
Proceedings of the 24th International Conference Mixed Design of Integrated Circuits and Systems, 2017

High-level test data generation for software-based self-test in microprocessors.
Proceedings of the 6th Mediterranean Conference on Embedded Computing, 2017

A scalable technique to identify true critical paths in sequential circuits.
Proceedings of the 20th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2017

From online fault detection to fault management in Network-on-Chips: A ground-up approach.
Proceedings of the 20th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2017

2016
Identification and Rejuvenation of NBTI-Critical Logic Paths in Nanoscale Circuits.
J. Electron. Test., 2016

A novel random approach to diagnostic test generation.
Proceedings of the IEEE Nordic Circuits and Systems Conference, 2016

On automatic software-based self-test program generation based on high-level decision diagrams.
Proceedings of the 17th Latin-American Test Symposium, 2016

Gate-level modelling of NBTI-induced delays under process variations.
Proceedings of the 17th Latin-American Test Symposium, 2016

A tool set for teaching design-for-testability of digital circuits.
Proceedings of the 11th European Workshop on Microelectronics Education, 2016

High-level modeling and testing of multiple control faults in digital systems.
Proceedings of the 2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2016

Rejuvenation of NBTI-Impacted Processors Using Evolutionary Generation of Assembler Programs.
Proceedings of the 25th IEEE Asian Test Symposium, 2016

Multiple control fault testing in digital systems with high-level decision diagrams.
Proceedings of the IEEE International Conference on Automation, 2016

2015
Transition delay fault simulation with parallel critical path back-tracing and 7-valued algebra.
Microprocess. Microsystems, 2015

Functional self-test of high-performance pipe-lined signal processing architectures.
Microprocess. Microsystems, 2015

Fault Collapsing in Digital Circuits Using Fast Fault Dominance and Equivalence Analysis with SSBDDs.
Proceedings of the VLSI-SoC: Design for Reliability, Security, and Low Power, 2015

Scalable algorithm for structural fault collapsing in digital circuits.
Proceedings of the 2015 IFIP/IEEE International Conference on Very Large Scale Integration, 2015

Shared Structurally Synthesized BDDs for speeding-up parallel pattern simulation in digital circuits.
Proceedings of the Nordic Circuits and Systems Conference, 2015

Complex delay fault reasoning with sequential 7-valued algebra.
Proceedings of the 16th Latin-American Test Symposium, 2015

Combinational fault simulation in sequential circuits.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Multiple fault testing in systems-on-chip with high-level decision diagrams.
Proceedings of the 10th International Design & Test Symposium, 2015

Double Phase Fault Collapsing with Linear Complexity in Digital Circuits.
Proceedings of the 2015 Euromicro Conference on Digital System Design, 2015

SPICE-Inspired Fast Gate-Level Computation of NBTI-induced Delays in Nanoscale Logic.
Proceedings of the 18th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2015

New Fault Models and Self-Test Generation for Microprocessors Using High-Level Decision Diagrams.
Proceedings of the 18th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2015

Fault simulation with parallel exact critical path tracing in multiple core environment.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

2014
Automated Design Error Localization in RTL Designs.
IEEE Des. Test, 2014

Software-based self-test generation for microprocessors with high-level decision diagrams.
Proceedings of the 15th Latin American Test Workshop, 2014

Hierarchical identification of NBTI-critical gates in nanoscale logic.
Proceedings of the 15th Latin American Test Workshop, 2014

Modeling sequential circuits with shared structurally synthesized BDDs.
Proceedings of the 9th International Design and Test Symposium, 2014

Advanced technical education in the age of cyber physical systems.
Proceedings of the 10th European Workshop on Microelectronics Education (EWME), 2014

Laboratory framework TEAM for investigating the dependability issues of microprocessor systems.
Proceedings of the 10th European Workshop on Microelectronics Education (EWME), 2014

Diagnostic Test Generation for Statistical Bug Localization Using Evolutionary Computation.
Proceedings of the Applications of Evolutionary Computation - 17th European Conference, 2014

Logic simulation and fault collapsing with shared structurally synthesized bdds.
Proceedings of the 19th IEEE European Test Symposium, 2014

Critical Path Tracing Based Simulation of Transition Delay Faults.
Proceedings of the 17th Euromicro Conference on Digital System Design, 2014

Lower bounds of the size of Shared Structurally Synthesized BDDs.
Proceedings of the 17th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2014

2013
Accurate Dialysis Dose Evaluation and Extrapolation Algorithms During Online Optical Dialysis Monitoring.
IEEE Trans. Biomed. Eng., 2013

Automated design error debug using high-level decision diagrams and mutation operators.
Microprocess. Microsystems, 2013

At-speed self-testing of high-performance pipe-lined processing architectures.
Proceedings of the 2013 NORCHIP, Vilnius, Lithuania, November 11-12, 2013, 2013

Diagnostic modeling of digital systems with low- and high-level decision diagrams.
Proceedings of the 14th Latin American Test Workshop, 2013

Assessment of diagnostic test for automated bug localization.
Proceedings of the 14th Latin American Test Workshop, 2013

Synthesis of multiple fault oriented test groups from single fault test sets.
Proceedings of the 8th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, 2013

Identifying NBTI-Critical Paths in Nanoscale Logic.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013

2012
Identifying Untestable Faults in Sequential Circuits Using Test Path Constraints.
J. Electron. Test., 2012

On the Reuse of TLM Mutation Analysis at RTL.
J. Electron. Test., 2012

Functional Built-In Self-Test for processor cores in SoC.
Proceedings of the NORCHIP 2012, Copenhagen, Denmark, November 12-13, 2012, 2012

About robustness of test patterns regarding multiple faults.
Proceedings of the 13th Latin American Test Workshop, 2012

Diagnosis and correction of multiple design errors using critical path tracing and mutation analysis.
Proceedings of the 13th Latin American Test Workshop, 2012

Automated correction of design errors by edge redirection on High-Level Decision Diagrams.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012

Combining dynamic slicing and mutation operators for ESL correction.
Proceedings of the 17th IEEE European Test Symposium, 2012

How to Prove that a Circuit is Fault-Free?
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012

Multiple stuck-at-fault detection theorem.
Proceedings of the IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2012

2011
Distributed Fault Simulation with Collaborative Load Balancing for VLSI Circuits.
Scalable Comput. Pract. Exp., 2011

Mutation analysis for SystemC designs at TLM.
Proceedings of the 12th Latin American Test Workshop, 2011

Interactive presentation abstract: Automated correction of design errors by edge redirection on high-level decision diagrams.
Proceedings of the 2011 IEEE International High Level Design Validation and Test Workshop, 2011

Automated test bench generation for high-level synthesis flow ABELITE.
Proceedings of the 9th East-West Design & Test Symposium, 2011

Constraint-Based Hierarchical Untestability Identification for Synchronous Sequential Circuits.
Proceedings of the 16th European Test Symposium, 2011

SoC and Board Modeling for Processor-Centric Board Testing.
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011

Fast RTL Fault Simulation Using Decision Diagrams and Bitwise Set Operations.
Proceedings of the 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2011

Defect-oriented module-level fault diagnosis in digital circuits.
Proceedings of the 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2011

Probabilistic equivalence checking based on high-level decision diagrams.
Proceedings of the 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2011

Automatic SoC Level Test Path Synthesis Based on Partial Functional Models.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

2010
Evolutionary Approach to Test Generation for Functional BIST
CoRR, 2010

Mutation analysis with high-level decision diagrams.
Proceedings of the 11th Latin American Test Workshop, 2010

Structural fault collapsing by superposition of BDDs for test generation in digital circuits.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

Remote and Virtual Laboratories in Problem-Based Learning Scenarios.
Proceedings of the 12th IEEE International Symposium on Multimedia, 2010

Fault collapsing with linear complexity in digital circuits.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Collaborative Distributed Computing in the Field of Digital Electronics Testing.
Proceedings of the Balanced Automation Systems for Future Manufacturing Networks, 2010

Collaborative Distributed Fault Simulation for Digital Electronic Circuits.
Proceedings of the Intelligent Distributed Computing IV - Proceedings of the 4th International Symposium on Intelligent Distributed Computing, 2010

An approach for PSL assertion coverage analysis with high-level decision diagrams.
Proceedings of the 2010 East-West Design & Test Symposium, 2010

Structurally Synthesized Multiple Input BDDs for Speeding Up Logic-Level Simulation of Digital Circuits.
Proceedings of the 13th Euromicro Conference on Digital System Design, 2010

Fast Fault Simulation for Extended Class of Faults in Scan Path Circuits.
Proceedings of the Fifth IEEE International Symposium on Electronic Design, 2010

Constraint-based test pattern generation at the Register-Transfer Level.
Proceedings of the 13th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2010

Parallel X-fault simulation with critical path tracing technique.
Proceedings of the Design, Automation and Test in Europe, 2010

2009
Design-for-testability-based external test and diagnosis of mesh-like network-on-a-chips.
IET Comput. Digit. Tech., 2009

PSL Assertion Checking Using Temporally Extended High-Level Decision Diagrams.
J. Electron. Test., 2009

Diagnozer: A laboratory tool for teaching research in diagnosis of electronic systems.
Proceedings of the IEEE International Conference on Microelectronic Systems Education, 2009

Investigations of the diagnosibility of digital networks with BIST.
Proceedings of the 10th Latin American Test Workshop, 2009

High-Level Decision Diagrams based coverage metrics for verification and test.
Proceedings of the 10th Latin American Test Workshop, 2009

Turning JTAG inside out for fast extended test access.
Proceedings of the 10th Latin American Test Workshop, 2009

Fast extended test access via JTAG and FPGAs.
Proceedings of the 2009 IEEE International Test Conference, 2009

Structurally synthesized multiple input BDDs for simulation of digital circuits.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

Block-Level Fault Model-Free Debug and Diagnosis in Digital Systems.
Proceedings of the 12th Euromicro Conference on Digital System Design, 2009

2008
Embedded fault diagnosis in digital systems with BIST.
Microprocess. Microsystems, 2008

Hybrid BIST optimization using reseeding and test set compaction.
Microprocess. Microsystems, 2008

Mixed hierarchical-functional fault models for targeting sequential cores.
J. Syst. Archit., 2008

Test methods for crosstalk-induced delay and glitch faults in network-on-chip interconnects implementing asynchronous communication protocols.
IET Comput. Digit. Tech., 2008

Distributed Approach for Genetic Test Generation in the Field of Digital Electronics.
Proceedings of the Intelligent Distributed Computing, Systems and Applications, Proceedings of the 2nd International Symposium on Intelligent Distributed Computing, 2008

Reseeding using compaction of pre-generated LFSR sub-sequences.
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008

Temporally Extended High-Level Decision Diagrams for PSL Assertions Simulation.
Proceedings of the 13th European Test Symposium, 2008

Hierarchical Analysis of Short Defects between Metal Lines in CMOS IC.
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008

Hierarchical Calculation of Malicious Faults for Evaluating the Fault-Tolerance.
Proceedings of the 4th IEEE International Symposium on Electronic Design, 2008

Code Coverage Analysis using High-Level Decision Diagrams.
Proceedings of the 11th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2008), 2008

Calculation of LFSR Seed and Polynomial Pair for BIST Applications.
Proceedings of the 11th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2008), 2008

Web-Based Framework for Parallel Distributed Test.
Proceedings of the 11th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2008), 2008

Untestable Fault Identification in Sequential Circuits Using Model-Checking.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

Parallel fault backtracing for calculation of fault coverage.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
Learning Digital Test and Diagnostics via Internet.
Int. J. Online Eng., 2007

FPGA-based fault emulation of synchronous sequential circuits.
IET Comput. Digit. Tech., 2007

Optimization of Memory-Constrained Hybrid BIST for Testing Core-Based Systems.
Proceedings of the IEEE Second International Symposium on Industrial Embedded Systems, 2007

Ultra Fast Parallel Fault Analysis on Structurally Synthesized BDDs.
Proceedings of the 12th European Test Symposium, 2007

Test Configurations for Diagnosing Faulty Links in NoC Switches.
Proceedings of the 12th European Test Symposium, 2007

Fault Diagnosis in Integrated Circuits with BIST.
Proceedings of the Tenth Euromicro Conference on Digital System Design: Architectures, 2007

Hierarchical Identification of Untestable Faults in Sequential Circuits.
Proceedings of the Tenth Euromicro Conference on Digital System Design: Architectures, 2007

Layout to Logic Defect Analysis for Hierarchical Test Generation.
Proceedings of the 10th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2007), 2007

2006
Test Time Minimization for Hybrid BIST of Core-Based Systems.
J. Comput. Sci. Technol., 2006

DefSim: CMOS Defects on Chip for Research and Education.
Proceedings of the 7th Latin American Test Workshop, 2006

Fault Simulation with Parallel Critical Path Tracing for Combinatorial Circuits Using Structurally Synthesized BDDs.
Proceedings of the 7th Latin American Test Workshop, 2006

High-Level Decision Diagram based Fault Models for Targeting FSMs.
Proceedings of the Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD 2006), 30 August, 2006

Off-Line Testing of Delay Faults in NoC Interconnects.
Proceedings of the Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD 2006), 30 August, 2006

An External Test Approach for Network-on-a-Chip Switches.
Proceedings of the 15th Asian Test Symposium, 2006

2005
A New Testability Calculation Method to Guide RTL Test Generation.
J. Electron. Test., 2005

Energy minimization for hybrid BIST in a system-on-chip test environment.
Proceedings of the 10th European Test Symposium, 2005

DOT: new deterministic defect-oriented ATPG tool.
Proceedings of the 10th European Test Symposium, 2005

Efficient Single-Pattern Fault Simulation on Structurally Synthesized BDDs.
Proceedings of the Dependable Computing, 2005

Defect-Oriented Test- and Layout-Generation for Standard-Cell ASIC Designs.
Proceedings of the Eighth Euromicro Symposium on Digital Systems Design (DSD 2005), 30 August, 2005

Improved Fault Emulation for Synchronous Sequential Circuits.
Proceedings of the Eighth Euromicro Symposium on Digital Systems Design (DSD 2005), 30 August, 2005

An Educational Environment for Digital Testing: Hardware, Tools, and Web-Based Runtime Platform.
Proceedings of the Eighth Euromicro Symposium on Digital Systems Design (DSD 2005), 30 August, 2005

2004
Conference Reports.
IEEE Des. Test Comput., 2004

Web-Based Environment for Digital Electronics Test Tools.
Proceedings of the Virtual Enterprises and Collaborative Networks, IFIP 18th World Computer Congress, TC5 / WG5.5, 2004

Hybrid BIST Optimization for Core-based Systems with Test Pattern Broadcasting.
Proceedings of the 2nd IEEE International Workshop on Electronic Design, 2004

Asynchronous e-learning resources for hardware design issues.
Proceedings of the 5th International Conference on Computer Systems and Technologies, 2004

2003
Design Error Diagnosis with Re-Synthesis in Combinational Circuits.
J. Electron. Test., 2003

Conference Reports.
IEEE Des. Test Comput., 2003

Back-Traced Deductive-Parallel Fault Simulation for Digital Systems.
Proceedings of the 2003 Euromicro Symposium on Digital Systems Design (DSD 2003), 2003

Hybrid BIST Time Minimization for Core-Based Systems with STUMPS Architecture.
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003

Testing Strategies for Networks on Chip.
Proceedings of the Networks on Chip, 2003

2002
Hierarchical test generation for combinational circuits with real defects coverage.
Microelectron. Reliab., 2002

Testability Calculation for Digital Circuits with Decision Diagrams.
Proceedings of the 3rd Latin American Test Workshop, 2002

A Hybrid BIST Architecture and Its Optimization for SoC Testing.
Proceedings of the 3rd International Symposium on Quality of Electronic Design, 2002

Fast static compaction of tests composed of independent sequences: basic properties and comparison of methods.
Proceedings of the 2002 9th IEEE International Conference on Electronics, 2002

Practical works for on-line teaching design and test of digital circuits.
Proceedings of the 2002 9th IEEE International Conference on Electronics, 2002

Integrated Design and Test Generation Under Internet Based Environment MOSCITO.
Proceedings of the 2002 Euromicro Symposium on Digital Systems Design (DSD 2002), 2002

Multi-Level Fault Simulation of Digital Systems on Decision Diagrams.
Proceedings of the 1st IEEE International Workshop on Electronic Design, 2002

Internet-Based Collaborative Test Generation with MOSCITO.
Proceedings of the 2002 Design, 2002

2001
Probabilistic analysis of CMOS physical defects in VLSI circuits for test coverage improvement.
Microelectron. Reliab., 2001

Design Error Diagnosis in Scan-Path Designs.
Proceedings of the 2nd Latin American Test Workshop, 2001

Defect-Oriented Fault Simulation and Test Generation in Digital Circuits.
Proceedings of the 2nd International Symposium on Quality of Electronic Design (ISQED 2001), 2001

Fast Test Cost Calculation for Hybrid BIST in Digital Systems.
Proceedings of the Euromicro Symposium on Digital Systems Design 2001 (Euro-DSD 2001), 2001

Timing simulation of digital circuits with binary decision diagrams.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001

2000
Fast Test Pattern Generation for Sequential Circuits Using Decision Diagram Representations.
J. Electron. Test., 2000

Efficient Hierarchical Approach to Test Generation for Digital Systems.
Proceedings of the 1st International Symposium on Quality of Electronic Design (ISQED 2000), 2000

Back-tracing and event-driven techniques in high-level simulation with decision diagrams.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

Hierarchical defect-oriented fault simulation for digital circuits.
Proceedings of the 5th European Test Workshop, 2000

Test Cost Minimization for Hybrid Bist.
Proceedings of the 15th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2000), 2000

Cycle-Based Simulation Algorithms for Digital Systems Using High-Level Decision Diagrams.
Proceedings of the 2000 Design, 2000

1999
Design Error Diagnosis in Digital Circuits without Error Model.
Proceedings of the VLSI: Systems on a Chip, 1999

High-level path activation technique to speed up sequential circuit test generation.
Proceedings of the 4th European Test Workshop, 1999

Cycle-based Simulation with Decision Diagrams.
Proceedings of the 1999 Design, 1999

Sequential Circuit Test Generation Using Decision Diagram Models.
Proceedings of the 1999 Design, 1999

1998
Generation of Tests for the Localization of Single Gate Design Errors in Combinational Circuits using the Stuck-at Fault Model.
Proceedings of the 11th Annual Symposium on Integrated Circuits Design, 1998

1997
Exploiting High-Level Descriptions for Circuits Fault Tolerance Assessments.
Proceedings of the 1997 Workshop on Defect and Fault-Tolerance in VLSI Systems (DFT '97), 1997

A new approach to build a low-level malicious fault list starting from high-level description and alternative graphs.
Proceedings of the European Design and Test Conference, 1997

1996
Test Synthesis with Alternative Graphs.
IEEE Des. Test Comput., 1996

Multi-Level Test Generation and Fault Diagnosis for Finite State Machines.
Proceedings of the Dependable Computing, 1996

1994
Test Generation for Digital Systems Based on Alternative Graphs.
Proceedings of the Dependable Computing, 1994


  Loading...