Lilian Bossuet

Orcid: 0000-0001-7964-3137

Affiliations:
  • University of Lyon, Saint-Etienne, France


According to our database1, Lilian Bossuet authored at least 113 papers between 2003 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Scoring the predictions: a way to improve profiling side-channel attacks.
IACR Cryptol. ePrint Arch., 2024

2023
Conditional Variational AutoEncoder based on Stochastic Attacks.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2023

BALoo: First and Efficient Countermeasure dedicated to Persistent Fault Attacks.
IACR Cryptol. ePrint Arch., 2023

Low-Latency Masking with Arbitrary Protection Order Based on Click Elements.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2023

Advanced Covert-Channels in Modern SoCs.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2023

Combined Internal Attacks on SoC-FPGAs: Breaking AES with Remote Power Analysis and Frequency-based Covert Channels.
Proceedings of the IEEE European Symposium on Security and Privacy, 2023

Emulating Side Channel Attacks on gem5: lessons learned.
Proceedings of the IEEE European Symposium on Security and Privacy, 2023

Deep Stacking Ensemble Learning Applied to Profiling Side-Channel Attacks.
Proceedings of the Smart Card Research and Advanced Applications, 2023

TrustSoC: Light and Efficient Heterogeneous SoC Architecture, Secure-by-design.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2023

Emulating Covert Data Transmission on Heterogeneous SoCs.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2023

2022
Conditional Variational AutoEncoder based on Stochastic Attack.
IACR Cryptol. ePrint Arch., 2022

Self-Timed Masking: Implementing First-Order Masked S-Boxes Without Registers.
IACR Cryptol. ePrint Arch., 2022

Insertion of random delay with context-aware dummy instructions generator in a RISC-V processor.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2022

SecDec: Secure Decode Stage thanks to masking of instructions with the generated signals.
Proceedings of the 25th Euromicro Conference on Digital System Design, 2022

Self-timed Masking: Implementing Masked S-Boxes Without Registers.
Proceedings of the Smart Card Research and Advanced Applications, 2022

2021
Efficiency through Diversity in Ensemble Models applied to Side-Channel Attacks - A Case Study on Public-Key Algorithms -.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2021

Ranking Loss: Maximizing the Success Rate in Deep Learning Side-Channel Analysis.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2021

Improving Deep Learning Networks for Profiled Side-channel Analysis Using Performance Improvement Techniques.
ACM J. Emerg. Technol. Comput. Syst., 2021

The use of ellipse-based estimator as a sub-key distinguisher for Side-Channel Analysis.
Comput. Electr. Eng., 2021

Cross-layer Approach to Assess FMEA on Critical Systems and Evaluate High-Level Model Realism.
Proceedings of the 29th IFIP/IEEE International Conference on Very Large Scale Integration, 2021

FMEA on Critical Systems: A Cross-Layer Approach Based on High-Level Models.
Proceedings of the VLSI-SoC: Technology Advancement on SoC Design, 2021

Security Assessment of Heterogeneous SoC-FPGA: On the Practicality of Cache Timing Attacks.
Proceedings of the 29th IFIP/IEEE International Conference on Very Large Scale Integration, 2021

Virtual Platform to Analyze the Security of a System on Chip at Microarchitectural Level.
Proceedings of the IEEE European Symposium on Security and Privacy Workshops, 2021

Message-Recovery Laser Fault Injection Attack on the Classic McEliece Cryptosystem.
Proceedings of the Advances in Cryptology - EUROCRYPT 2021, 2021

Multi-Spot Laser Fault Injection Setup: New Possibilities for Fault Injection Attacks.
Proceedings of the Smart Card Research and Advanced Applications, 2021

2020
Methodology for Efficient CNN Architectures in Profiling Attacks.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2020

Pipelined Hardware Implementation of COPA, ELmD, and COLM.
IEEE Trans. Computers, 2020

Understanding Methodology for Efficient CNN Architectures in Profiling Attacks.
IACR Cryptol. ePrint Arch., 2020

Online Performance Evaluation of Deep Learning Networks for Side-Channel Analysis.
IACR Cryptol. ePrint Arch., 2020

Message-recovery Laser Fault Injection Attack on Code-based Cryptosystems.
IACR Cryptol. ePrint Arch., 2020

High Level Fault Injection Method for Evaluating Critical System Parameter Ranges.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

Physical Security of Ring-based PUF.
Proceedings of the European Conference on Circuit Theory and Design, 2020

Cross Layer Fault Simulations for Analyzing the Robustness of RTL Designs in Airborne Systems.
Proceedings of the 23rd International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2020

Backtracking Search for Optimal Parameters of a PLL-based True Random Number Generator.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Online Performance Evaluation of Deep Learning Networks for Profiled Side-Channel Analysis.
Proceedings of the Constructive Side-Channel Analysis and Secure Design, 2020

2019
Experimental Study of Locking Phenomena on Oscillating Rings Implemented in Logic Devices.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

The Security of ARM TrustZone in a FPGA-Based SoC.
IEEE Trans. Computers, 2019

Transient Effect Ring Oscillators Leak Too.
IACR Cryptol. ePrint Arch., 2019

Secure Internal Communication of a Trustzone-Enabled Heterogeneous Soc Lightweight Encryption.
Proceedings of the International Conference on Field-Programmable Technology, 2019

2018
Implementation and Characterization of a Physical Unclonable Function for IoT: A Case Study With the TERO-PUF.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

JTAG Fault Injection Attack.
IEEE Embed. Syst. Lett., 2018

DVFS as a Security Failure of TrustZone-enabled Heterogeneous SoC.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

2017
Key Reconciliation Protocols for Error Correction of Silicon PUF Responses.
IEEE Trans. Inf. Forensics Secur., 2017

Timing attack on NoC-based systems: Prime+Probe attack and NoC-based protection.
Microprocess. Microsystems, 2017

Area-oriented comparison of lightweight block ciphers implemented in hardware for the activation mechanism in the anti-counterfeiting schemes.
Int. J. Circuit Theory Appl., 2017

Restoration protocol: Lightweight and secur devices authentication based on PUF.
Proceedings of the 2017 IFIP/IEEE International Conference on Very Large Scale Integration, 2017

On the security evaluation of the ARM TrustZone extension in a heterogeneous SoC.
Proceedings of the 30th IEEE International System-on-Chip Conference, 2017

Efficient design of Oscillator based Physical Unclonable Functions on Flash FPGAs.
Proceedings of the IEEE 2nd International Verification and Security Workshop, 2017

Centrality Indicators for Efficient and Scalable Logic Masking.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

A comprehensive hardware/software infrastructure for IP cores design protection.
Proceedings of the International Conference on Field Programmable Technology, 2017

Complete activation scheme for FPGA-oriented IP cores design protection.
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017

2016
Design, Evaluation, and Optimization of Physical Unclonable Functions Based on Transient Effect Ring Oscillators.
IEEE Trans. Inf. Forensics Secur., 2016

Comments on "A PUF-FSM Binding Scheme for FPGA IP Protection and Pay-per-Device Licensing".
IEEE Trans. Inf. Forensics Secur., 2016

ELmD: A Pipelineable Authenticated Encryption and Its Hardware Implementation.
IEEE Trans. Computers, 2016

Identification of IP control units by state encoding and side channel verification.
Microprocess. Microsystems, 2016

From secured logic to IP protection.
Microprocess. Microsystems, 2016

Introduction to Special Issue on Trustworthy Manufacturing and Utilization of Secure Devices (TRUDEVICE).
Microprocess. Microsystems, 2016

Fault model of electromagnetic attacks targeting ring oscillator-based true random number generators.
J. Cryptogr. Eng., 2016

Side channel attack on NoC-based MPSoCs are practical: NoC Prime+Probe attack.
Proceedings of the 29th Symposium on Integrated Circuits and Systems Design, 2016

JTAG Combined Attack - Another Approach for Fault Injection.
Proceedings of the 8th IFIP International Conference on New Technologies, 2016

Gossip NoC - Avoiding Timing Side-Channel Attacks through Traffic Management.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

Design and Characterization of the TERO-PUF on SRAM FPGAs.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

Electromagnetic security tests for SoC.
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016

A survey of AIS-20/31 compliant TRNG cores suitable for FPGA devices.
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016

Enhanced TERO-PUF Implementations and Characterization on FPGAs (Abstract Only).
Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2016

Hardware security: From concept to application.
Proceedings of the 11th European Workshop on Microelectronics Education, 2016

2015
Disposable configuration of remotely reconfigurable systems.
Microprocess. Microsystems, 2015

An Ultra-Lightweight Transmitter for Contactless Rapid Identification of Embedded IP in FPGA.
IEEE Embed. Syst. Lett., 2015

Contactless transmission of intellectual property data to protect FPGA designs.
Proceedings of the 2015 IFIP/IEEE International Conference on Very Large Scale Integration, 2015

Electromagnetic Transmission of Intellectual Property Data to Protect FPGA Designs.
Proceedings of the VLSI-SoC: Design for Reliability, Security, and Low Power, 2015

Identification of embedded control units by state encoding and power consumption analysis.
Proceedings of the 30th Annual ACM Symposium on Applied Computing, 2015

Reversible Denial-of-Service by Locking Gates Insertion for IP Cores Design Protection.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

Functional Locking Modules for Design Protection of Intellectual Property Cores.
Proceedings of the 23rd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2015

2014
A PUF Based on a Transient Effect Ring Oscillator and Insensitive to Locking Phenomenon.
IEEE Trans. Emerg. Top. Comput., 2014

Sustainable electronics: On the trail of reconfigurable computing.
Sustain. Comput. Informatics Syst., 2014

Survey of hardware protection of design data for integrated circuits and intellectual properties.
IET Comput. Digit. Tech., 2014

Electromagnetic analysis and fault injection onto secure circuits.
Proceedings of the 22nd International Conference on Very Large Scale Integration, 2014

IP watermark verification based on power consumption analysis.
Proceedings of the 27th IEEE International System-on-Chip Conference, 2014

New paradigms for access control in constrained environments.
Proceedings of the 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip, 2014

2013
Architectures of flexible symmetric key crypto engines - a survey: From hardware coprocessor to multi-crypto-processor system on chip.
ACM Comput. Surv., 2013

Electromagnetic analysis on ring oscillator-based true random number generators.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Evaluation of delay PUFs on CMOS 65 nm technology: ASIC vs FPGA.
Proceedings of the HASP 2013, 2013

Teaching FPGA security.
Proceedings of the 2013 International Conference on Field-Programmable Technology, 2013

2012
Secure Extension of FPGA General Purpose Processors for Symmetric Key Cryptography with Partial Reconfiguration Capabilities.
ACM Trans. Reconfigurable Technol. Syst., 2012

Automatic low-cost IP watermarking technique based on output mark insertions.
Des. Autom. Embed. Syst., 2012

An Easy-to-Design PUF Based on a Single Oscillator: The Loop PUF.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012

Contactless Electromagnetic Active Attack on Ring Oscillator Based True Random Number Generator.
Proceedings of the Constructive Side-Channel Analysis and Secure Design, 2012

2011
Fast Digital Post-Processing Technique for Integral Nonlinearity Correction of Analog-to-Digital Converters: Validation on a 12-Bit Folding-and-Interpolating Analog-to-Digital Converter.
IEEE Trans. Instrum. Meas., 2011

Secure extensions of FPGA soft core processors for symmetric key cryptography.
Proceedings of the 6th International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2011

A Reconfigurable Multi-core Cryptoprocessor for Multi-channel Communication Systems.
Proceedings of the 25th IEEE International Symposium on Parallel and Distributed Processing, 2011

Cryptographic Extension for Soft General-Purpose Processors with Secure Key Management.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2011

Design and Implementation of a Multi-Core Crypto-Processor for Software Defined Radios.
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2011

2010
Reducing and smoothing power consumption of ROM-based controller implementations.
Proceedings of the 23rd Annual Symposium on Integrated Circuits and Systems Design, 2010

HCrypt: A Novel Concept of Crypto-processor with Secured Key Management.
Proceedings of the ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, 2010

A low-area filter bank design methodology for on-chip ADC testing.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

Area optimization of ROM-based controllers dedicated to digital signal processing applications.
Proceedings of the 18th European Signal Processing Conference, 2010

2008
Reconfigurable Hardware for High-Security/ High-Performance Embedded Systems: The SAFES Perspective.
IEEE Trans. Very Large Scale Integr. Syst., 2008

A new orthogonal online digital calibration for time-interleaved analog-to-digital converters.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Orthogonal correction implementation for time interleaved analog-to-digital converters: Realtime application.
Proceedings of the 2008 16th European Signal Processing Conference, 2008

2007
Communication-Oriented Design Space Exploration for Reconfigurable Architectures.
EURASIP J. Embed. Syst., 2007

HLS design flow for the synthesis of multimode systems under multiple constraints.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

Mathematical functions based watermarking for IPP.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

2006
Exploration de l'espace de conception des architectures reconfigurables.
Tech. Sci. Informatiques, 2006

Design Space Pruning Through Early Estimations of Area/Delay Tradeoffs for FPGA Implementations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Dynamically configurable security for SRAM FPGA bitstreams.
Int. J. Embed. Syst., 2006

An offset and gain calibration method for time-interleaved analog to digital converters.
Proceedings of the 13th IEEE International Conference on Electronics, 2006

A Low Cost Alternative Method for Harmonics Estimation in a BIST Context.
Proceedings of the 11th European Test Symposium, 2006

2005
Configurable Computing for High-Security/High-Performance Ambient Systems.
Proceedings of the Embedded Computer Systems: Architectures, 2005

Generic Design Space Exploration for Reconfigurable Architectures.
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005

2003
Fast prototyping of reconfigurable architectures from a C program.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Targeting Tiled Architectures in Design Exploration.
Proceedings of the 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 2003

Communication Costs Driven Design Space Exploration for Reconfigurable Architectures.
Proceedings of the Field Programmable Logic and Application, 13th International Conference, 2003

Fast Design Space Exploration Method for Reconfigurable Architectures.
Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23, 2003


  Loading...