Rohit Kapur

According to our database1, Rohit Kapur authored at least 86 papers between 1991 and 2021.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Awards

IEEE Fellow

IEEE Fellow 2003, "For contributions to IC Test Technology.".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2021
New scan compression approach to reduce the test data volume.
IET Comput. Digit. Tech., 2021

2020
A Scan Obfuscation Guided Design-for-Security Approach for Sequential Circuits.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

2018
Handling Unknown with Blend of Scan and Scan Compression.
J. Electron. Test., 2018

Encrypt Flip-Flop: A Novel Logic Encryption Technique For Sequential Circuits.
CoRR, 2018

2017
A New Logic Encryption Strategy Ensuring Key Interdependency.
Proceedings of the 30th International Conference on VLSI Design and 16th International Conference on Embedded Systems, 2017

Deterministic Shift Power Reduction in Test Compression.
Proceedings of the VLSI Design and Test - 21st International Symposium, 2017

Diagnosing multiple faulty chains with low pin convolution compressor using compressed production test set.
Proceedings of the IEEE International Test Conference, 2017

Enhancing security of logic encryption using embedded key generation unit.
Proceedings of the International Test Conference in Asia, 2017

2016
Small Test Set Generation with High Diagnosability.
J. Circuits Syst. Comput., 2016

Handling wrong mapping: A new direction towards better diagnosis with low pin convolution compressors.
Proceedings of the 2016 IEEE International Test Conference, 2016

2015
Scan Chain Masking for Diagnosis of Multiple Chain Failures in a Space Compaction Environment.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Designing efficient combinational compression architecture for testing industrial circuits.
Proceedings of the 19th International Symposium on VLSI Design and Test, 2015

GA based diagnostic test pattern generation for transition faults.
Proceedings of the 19th International Symposium on VLSI Design and Test, 2015

Designing effective scan compression solutions for industrial circuits.
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015

Fault diagnosis in designs with extreme low pin test data compressors.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

2014
Framework for Multiple-Fault Diagnosis Based on Multiple Fault Simulation Using Particle Swarm Optimization.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Innovative practices session 10C: Advances in DFT and compression.
Proceedings of the 32nd IEEE VLSI Test Symposium, 2014

Unifying scan compression.
Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2014

A Case Study on Implementing Compressed DFT Architecture.
Proceedings of the 23rd IEEE Asian Test Symposium, 2014

2013
A Metric for Test Set Characterization and Customization Toward Fault Diagnosis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Aggresive scan chain masking for improved diagnosis of multiple scan chain failures.
Proceedings of the 18th IEEE European Test Symposium, 2013

An ATE assisted DFD technique for volume diagnosis of scan chains.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
A Diagnosability Metric for Test Set Selection Targeting Better Fault Detection.
Proceedings of the 25th International Conference on VLSI Design, 2012

2011
Special session 5B: Panel How much toggle activity should we be testing with?
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

Multiple Fault Diagnosis Based on Multiple Fault Simulation Using Particle Swarm Optimization.
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011

Predicting Scan Compression IP Configurations for Better QoR.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

Breaking the Test Application Time Barriers in Compression: Adaptive Scan-Cyclical (AS-C).
Proceedings of the 20th IEEE Asian Test Symposium, 2011

3D-Scalable Adaptive Scan (3D-SAS).
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011

2010
Conference Reports.
IEEE Des. Test Comput., 2010

2009
CTL and Its Usage in the EDA Industry.
IEEE Des. Test Comput., 2009

Proactive management of X's in scan chains for compression.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

Scalable Adaptive Scan (SAS).
Proceedings of the Design, Automation and Test in Europe, 2009

2008
Historical Perspective on Scan Compression.
IEEE Des. Test Comput., 2008

Bounded Adjacent Fill for Low Capture Power Scan Testing.
Proceedings of the 26th IEEE VLSI Test Symposium (VTS 2008), April 27, 2008

Interval Based X-Masking for Scan Compression Architectures.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction.
Proceedings of the Design, Automation and Test in Europe, 2008

Not All Xs are Bad for Scan Compression.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

Evaluation of Entropy Driven Compression Bounds on Industrial Designs.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

2007
DFT MAX and Power.
J. Low Power Electron., 2007

Minimizing the Impact of Scan Compression.
Proceedings of the 25th IEEE VLSI Test Symposium (VTS 2007), 2007

Multimode Illinois Scan Architecture for Test Application Time and Test Data Volume Reduction.
Proceedings of the 25th IEEE VLSI Test Symposium (VTS 2007), 2007

Fundamentals of timing information for test: How simple can we get?
Proceedings of the 2007 IEEE International Test Conference, 2007

Accurately Determining Bridging Defects from Layout.
Proceedings of the 10th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2007), 2007

Testing in the year 2020.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2006
OCI: Open Compression Interface.
Proceedings of the 2006 IEEE International Test Conference, 2006

2005
Efficient compression of deterministic patterns into multiple PRPG seeds.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

Test the test experts: do we know what we are doing?
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

2004
Changing the Scan Enable during Shift.
Proceedings of the 22nd IEEE VLSI Test Symposium (VTS 2004), 2004

Security vs. Test Quality: Are they mutually exclusive?
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

2003
Speed Binning with Path Delay Test in 150-nm Technology.
IEEE Des. Test Comput., 2003

A Reconfigurable Shared Scan-in Architecture.
Proceedings of the 21st IEEE VLSI Test Symposium (VTS 2003), 27 April, 2003

Overview of the IEEE P1500 Standard.
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003

Test Pattern Compression Using Prelude Vectors in Fan-Out Scan Chain with Feedback Architecture.
Proceedings of the 2003 Design, 2003

2002
On IEEE P1500's Standard for Embedded Core Test.
J. Electron. Test., 2002

Dynamic Scan: Driving Down the Cost of Test.
Computer, 2002

Analysis of Delay Test Effectiveness with a Multiple-Clock Scheme.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002

Integrating DFT in the Physical Synthesis Flow.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002

Fast seed computation for reseeding shift register in test pattern compression.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

Directed-Binary Search in Logic BIST Diagnostics.
Proceedings of the 2002 Design, 2002

Enhancing test efficiency for delay fault testing using multiple-clocked schemes.
Proceedings of the 39th Design Automation Conference, 2002

Manufacturing Test of SoCs.
Proceedings of the 11th Asian Test Symposium (ATS 2002), 18-20 November 2002, Guam, USA, 2002

2001
Strategies for Low-Cost Test.
IEEE Des. Test Comput., 2001

IP and Automation to Support IEEE P1500.
Proceedings of the 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April, 2001

A new methodology for improved tester utilization.
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001

Tester retargetable patterns.
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001

CTL the language for describing core-based test.
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001

2000
The Mutating Metric for Benchmarking Test.
IEEE Des. Test Comput., 2000

On using IEEE P1500 SECT for test plug-n-play.
Proceedings of the Proceedings IEEE International Test Conference 2000, 2000

Design for Testability in Nanometer Technologies; Searching for Quality.
Proceedings of the 1st International Symposium on Quality of Electronic Design (ISQED 2000), 2000

DFT closure.
Proceedings of the 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, 2000

1999
Tough Challenges as Design and Test Go Nanometer - Guest Editors' Introduction.
Computer, 1999

Towards a standard for embedded core test: an example.
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999

High level ATPG is important and is on its way!
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999

1997
Cost-Driven Ranking of Memory Elements for Partial Intrusion.
IEEE Des. Test Comput., 1997

1996
A weighted random pattern test generation system.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

System Test and Reliability: Techniques for Avoiding Failure (Guest Editors' Introduction).
Computer, 1996

I<sub>DDQ</sub> Test: Sensitivity Analysis of Scaling.
Proceedings of the Proceedings IEEE International Test Conference 1996, 1996

Iddq Testing for High Performance CMOS - The Next Ten Years.
Proceedings of the 1996 European Design and Test Conference, 1996

1994
Limitations in predicting defect level based on stuck-at fault coverage.
Proceedings of the 12th IEEE VLSI Test Symposium (VTS'94), 1994

Design of an Efficient Weighted-Random-Pattern Generation System.
Proceedings of the Proceedings IEEE International Test Conference 1994, 1994

1992
Bounding Signal Probabilities for Testability Measurement Using Conditional Syndromes.
IEEE Trans. Computers, 1992

The roles of controllability and observability in design for test.
Proceedings of the 10th IEEE VLSI Test Symposium (VTS'92), 1992

All Tests for a Fault Are Not Equally Valuable for Defect Detection.
Proceedings of the Proceedings IEEE International Test Conference 1992, 1992

Functional Approaches to Generating Orderings for Efficient Symbolic Representations.
Proceedings of the 29th Design Automation Conference, 1992

1991
Fast functional evaluation of candidate OBDD variable orderings.
Proceedings of the conference on European design automation, 1991

Heuristics to Compute Variable Orderings for Efficient Manipulation of Ordered Binary Decision Diagrams.
Proceedings of the 28th Design Automation Conference, 1991


  Loading...