Srimat T. Chakradhar
Orcid: 0000-0003-3530-3901
According to our database1,
Srimat T. Chakradhar
authored at least 196 papers
between 1988 and 2024.
Collaborative distances:
Collaborative distances:
Awards
IEEE Fellow
IEEE Fellow 2010, "For contributions to digital integrated circuit testing".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2024
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision, 2024
Proceedings of the 32nd Euromicro International Conference on Parallel, 2024
2023
ACM Trans. Embed. Comput. Syst., July, 2023
Sensors, April, 2023
Deep Learning-Based Real-Time Quality Control of Standard Video Compression for Live Streaming.
CoRR, 2023
CoRR, 2023
CoRR, 2023
Proceedings of the 2023 IEEE International Conference on Smart Computing, 2023
Proceedings of the 2023 IEEE International Conference on Smart Computing, 2023
FactionFormer: Context-Driven Collaborative Vision Transformer Models for Edge Intelligence.
Proceedings of the 2023 IEEE International Conference on Smart Computing, 2023
Content-aware auto-scaling of stream processing applications on container orchestration platforms.
Proceedings of the 31st Euromicro International Conference on Parallel, 2023
Proceedings of the 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2023
Proceedings of the IEEE Globecom Workshops 2023, 2023
2022
ACM Trans. Embed. Comput. Syst., November, 2022
CoRR, 2022
Proceedings of the 2022 IEEE International Conference on Smart Computing, 2022
Application-specific, dynamic reservation of 5G compute and network resources by using reinforcement learning.
Proceedings of the NAI '22: Proceedings of the ACM SIGCOMM Workshop on Network-Application Integration, 2022
Proceedings of the 20th ACM Conference on Embedded Networked Sensor Systems, 2022
DataXe: A System for Application Self-optimization in Serverless Edge Computing Environments.
Proceedings of the 2022 IEEE International Conference on Pervasive Computing and Communications Workshops and other Affiliated Events, 2022
Proceedings of the 2022 IEEE/IFIP Network Operations and Management Symposium, 2022
Proceedings of the 9th International Conference on Internet of Things: Systems, 2022
Proceedings of the 9th International Conference on Internet of Things: Systems, 2022
Proceedings of the 26th International Conference on Pattern Recognition, 2022
Proceedings of the Computer Vision - ECCV 2022 Workshops, 2022
DataXc: Flexible and efficient communication in microservices-based stream analytics pipelines.
Proceedings of the IEEE Intl. Conf. on Dependable, 2022
Proceedings of the 31st ACM International Conference on Information & Knowledge Management, 2022
Proceedings of the 2022 IEEE Future Networks World Forum, 2022
2021
CoRR, 2021
CamTuner: Reinforcement-Learning based System for Camera Parameter Tuning to enhance Analytics.
CoRR, 2021
Proceedings of the IEEE International Conference on Smart Computing, 2021
Proceedings of the Eighth International Conference on Software Defined Systems, 2021
AppSlice: A system for application-centric design of 5G and edge computing applications.
Proceedings of the 12th International Conference on Network of the Future, 2021
Proceedings of the Middleware '21: 22nd International Middleware Conference, Québec City, Canada, December 6, 2021
Proceedings of the 6th IEEE/ACM Symposium on Edge Computing, 2021
Proceedings of the 6th IEEE/ACM Symposium on Edge Computing, 2021
Proceedings of the Intelligent Distributed Computing XIV, 2021
Proceedings of the IEEE/CVF International Conference on Computer Vision Workshops, 2021
Proceedings of the 21st IEEE/ACM International Symposium on Cluster, 2021
2018
VAYU: Accelerating stream processing applications through dynamic network-aware topology re-optimization.
J. Parallel Distributed Comput., 2018
2017
Accelerating deep neural network training with inconsistent stochastic gradient descent.
Neural Networks, 2017
2016
Proceedings of the International Conference for High Performance Computing, 2016
Proceedings of the 45th International Conference on Parallel Processing, 2016
2015
Proceedings of the Languages and Compilers for Parallel Computing, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 52nd Annual Design Automation Conference, 2015
2014
Proceedings of the 2014 USENIX Annual Technical Conference, 2014
Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, 2014
Proceedings of the 2014 IEEE 28th International Parallel and Distributed Processing Symposium, 2014
Proceedings of the 2014 International Conference on Supercomputing, 2014
GRapid: A compilation and runtime framework for rapid prototyping of graph applications on many-core processors.
Proceedings of the 20th IEEE International Conference on Parallel and Distributed Systems, 2014
Snapify: capturing snapshots of offload applications on xeon phi manycore processors.
Proceedings of the 23rd International Symposium on High-Performance Parallel and Distributed Computing, 2014
Proceedings of the 12th IEEE Symposium on Embedded Systems for Real-time Multimedia, 2014
2013
ACM Trans. Embed. Comput. Syst., 2013
Future Gener. Comput. Syst., 2013
Proceedings of the International Conference for High Performance Computing, 2013
Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, 2013
COSMIC: middleware for high performance and reliable multiprocessing on xeon phi coprocessors.
Proceedings of the 22nd International Symposium on High-Performance Parallel and Distributed Computing, 2013
Analysis and characterization of inherent application resilience for approximate computing.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
Proceedings of the 2013 Asilomar Conference on Signals, 2013
M-Lock: Accelerating Distributed Transactions on Key-Value Stores through Dynamic Lock Localization.
Proceedings of the 2013 IEEE Sixth International Conference on Cloud Computing, Santa Clara, CA, USA, June 28, 2013
2012
A Massively Parallel, Energy Efficient Programmable Accelerator for Learning and Classification.
ACM Trans. Archit. Code Optim., 2012
Proceedings of the SC Conference on High Performance Computing Networking, 2012
Proceedings of the SC Conference on High Performance Computing Networking, 2012
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2012
Apricot: an optimizing compiler and productivity tool for x86-compatible many-core coprocessors.
Proceedings of the International Conference on Supercomputing, 2012
Proceedings of the 21st International Symposium on High-Performance Parallel and Distributed Computing, 2012
Proceedings of the 21st International Symposium on High-Performance Parallel and Distributed Computing, 2012
Proceedings of the 2012 IEEE International Conference on Cluster Computing, 2012
Proceedings of the 10th Annual IEEE/ACM International Symposium on Code Generation and Optimization, 2012
Proceedings of the 17th International Conference on Architectural Support for Programming Languages and Operating Systems, 2012
2011
IEEE Embed. Syst. Lett., 2011
Proceedings of the IEEE 9th Symposium on Application Specific Processors, 2011
Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31, 2011
Proceedings of the 2011 International Conference on Parallel Processing Workshops, 2011
Supporting GPU sharing in cloud environments with a transparent runtime consolidation framework.
Proceedings of the 20th ACM International Symposium on High Performance Distributed Computing, 2011
Proceedings of the 2011 International Green Computing Conference and Workshops, 2011
Proceedings of the 48th Design Automation Conference, 2011
Symphony: A Scheduler for Client-Server Applications on Coprocessor-Based Heterogeneous Clusters.
Proceedings of the 2011 IEEE International Conference on Cluster Computing (CLUSTER), 2011
2010
ACM Trans. Embed. Comput. Syst., 2010
ACM Trans. Embed. Comput. Syst., 2010
Data-aware scheduling of legacy kernels on heterogeneous platforms with distributed memory.
Proceedings of the SPAA 2010: Proceedings of the 22nd Annual ACM Symposium on Parallelism in Algorithms and Architectures, 2010
Proceedings of the 37th International Symposium on Computer Architecture (ISCA 2010), 2010
Proceedings of the 24th IEEE International Symposium on Parallel and Distributed Processing, 2010
Scalable effort hardware design: exploiting algorithmic resilience for energy efficiency.
Proceedings of the 47th Design Automation Conference, 2010
Proceedings of the 47th Design Automation Conference, 2010
Proceedings of 3rd Workshop on General Purpose Processing on Graphics Processing Units, 2010
Proceedings of the 19th International Conference on Parallel Architectures and Compilation Techniques, 2010
2009
A framework for efficient and scalable execution of domain-specific templates on GPUs.
Proceedings of the 23rd IEEE International Symposium on Parallel and Distributed Processing, 2009
Proceedings of the 23rd IEEE International Symposium on Parallel and Distributed Processing, 2009
Proceedings of the FCCM 2009, 2009
Proceedings of the 20th IEEE International Conference on Application-Specific Systems, 2009
2008
Proceedings of the Advances in Neural Information Processing Systems 21, 2008
HERMES: A Software Architecture for Visibility and Control in Wireless Sensor Network Deployments.
Proceedings of the 7th International Conference on Information Processing in Sensor Networks, 2008
Efficient Software Architecture for IPSec Acceleration Using a Programmable Security Processor.
Proceedings of the Design, Automation and Test in Europe, 2008
2007
Exploring Software Partitions for Fast Security Processing on a Multiprocessor Mobile SoC.
IEEE Trans. Very Large Scale Integr. Syst., 2007
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007
Proceedings of the 2007 IEEE International Test Conference, 2007
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
A High Compression and Short Test Sequence Test Compression Technique to Enhance Compressions of LFSR Reseeding.
Proceedings of the 16th Asian Test Symposium, 2007
2006
ACM Trans. Embed. Comput. Syst., 2006
A scalable scan-path test point insertion technique to enhance delay fault coverage for standard scan designs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
Test-Volume Reduction in Systems-on-a-Chip Using Heterogeneous and Multilevel Compression Techniques.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
Using Shiftable Content Addressable Memories to Double Memory Capacity on Embedded Systems.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006
Safe Java Native Interface.
Proceedings of the 2006 IEEE International Symposium on Secure Software Engineering, 2006
Chisel: A Storage-efficient, Collision-free Hash-based Network Processing Architecture.
Proceedings of the 33rd International Symposium on Computer Architecture (ISCA 2006), 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
Unknown-tolerance analysis and test-quality control for test response compaction using space compactors.
Proceedings of the 43rd Design Automation Conference, 2006
Software architecture exploration for high-performance security processing on a multiprocessor mobile SoC.
Proceedings of the 43rd Design Automation Conference, 2006
Zero Cost Test Point Insertion Technique to Reduce Test Set Size and Test Generation Time for Structured ASICs.
Proceedings of the 15th Asian Test Symposium, 2006
2005
IEEE Des. Test Comput., 2005
Heterogeneous and Multi-Level Compression Techniques for Test Volume Reduction in Systems-on-Chip.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005
A Unified Architecture for Adaptive Compression of Data and Code on Embedded Systems.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005
Power Monitors: A Framework for System-Level Power Estimation Using Heterogeneous Power Models.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005
XWRC: externally-loaded weighted random pattern testing for input test data compression.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005
Proceedings of the 2005 IEEE International Conference on Multimedia and Expo, 2005
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005
Response shaper: a novel technique to enhance unknown tolerance for output response compaction.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005
Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2005
Proceedings of the 2005 International Conference on Compilers, 2005
2004
Cypress: Compression and Encryption of Data and Code for Embedded Multimedia Systems.
IEEE Des. Test Comput., 2004
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004
Proceedings of the 2004 Design, 2004
Hybrid Delay Scan: A Low Hardware Overhead Scan-Based Delay Test Technique for High Fault Coverage and Compact Test Sets.
Proceedings of the 2004 Design, 2004
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004
2003
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003
CoCo: a hardware/software platform for rapid prototyping of code compression technologies.
Proceedings of the 40th Design Automation Conference, 2003
2001
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001
2000
Test Set and Fault Partitioning Techniques for Static Test Sequence Compaction for Sequential Circuits.
J. Electron. Test., 2000
J. Electron. Test., 2000
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000
1999
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999
Proceedings of the 17th IEEE VLSI Test Symposium (VTS '99), 1999
1998
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998
Static test sequence compaction based on segment reordering and accelerated vector restoration.
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998
State Relaxation Based Subsequence Removal for Fast Static Compaction in Sequential Circuits.
Proceedings of the 1998 Design, 1998
Partitioning and Reordering Techniques for Static Test Sequence Compaction of Sequential Circuits.
Proceedings of the 7th Asian Test Symposium (ATS '98), 2-4 December 1998, Singapore, 1998
Proceedings of the 7th Asian Test Symposium (ATS '98), 2-4 December 1998, Singapore, 1998
1997
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997
Proceedings of the 10th International Conference on VLSI Design (VLSI Design 1997), 1997
Proceedings of the Proceedings IEEE International Test Conference 1997, 1997
1996
IEEE Trans. Very Large Scale Integr. Syst., 1996
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996
Retiming with logic duplication transformation: theory and an application to partial scan.
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996
Proceedings of the Proceedings IEEE International Test Conference 1996, 1996
1995
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995
Test function embedding algorithms with application to interconnected finite state machines.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995
Combinational ATPG theorems for identifying untestable faults in sequential circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995
J. Electron. Test., 1995
Proceedings of the 13th IEEE VLSI Test Symposium (VTS'95), April 30, 1995
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995
Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, 1995
Proceedings of the Proceedings EURO-DAC'95, 1995
Proceedings of the 4th Asian Test Symposium (ATS '95), 1995
1994
IEEE Trans. Neural Networks, 1994
Proceedings of the 12th IEEE VLSI Test Symposium (VTS'94), 1994
Proceedings of the 12th IEEE VLSI Test Symposium (VTS'94), 1994
Proceedings of the Seventh International Conference on VLSI Design, 1994
Proceedings of the Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1994
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994
1993
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993
J. Electron. Test., 1993
Proceedings of the Proceedings IEEE International Test Conference 1993, Designing, Testing, and Diagnostics, 1993
Proceedings of the 30th Design Automation Conference. Dallas, 1993
1992
IEEE Trans. Parallel Distributed Syst., 1992
1991
Proceedings of the 28th Design Automation Conference, 1991
1990
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1990
IEEE Des. Test Comput., 1990
Proceedings of the Proceedings Supercomputing '90, New York, NY, USA, November 12-16, 1990, 1990
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990
Proceedings of the 20th International Symposium on Fault-Tolerant Computing, 1990
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990
1988
Proceedings of the 1988 IEEE International Conference on Computer-Aided Design, 1988