Xiaochen Peng

Orcid: 0000-0001-6148-7711

According to our database1, Xiaochen Peng authored at least 41 papers between 2018 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
34.4 A 3nm, 32.5TOPS/W, 55.0TOPS/mm<sup>2</sup> and 3.78Mb/mm<sup>2</sup> Fully-Digital Compute-in-Memory Macro Supporting INT12 × INT12 with a Parallel-MAC Architecture and Foundry 6T-SRAM Bit Cell.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2022
Benchmark Framework for 2-D/3-D Integrated Compute-in-Memory Based Machine Learning Accelerator.
PhD thesis, 2022

Achieving High In Situ Training Accuracy and Energy Efficiency with Analog Non-Volatile Synaptic Devices.
ACM Trans. Design Autom. Electr. Syst., 2022

2021
Secure XOR-CIM Engine: Compute-In-Memory SRAM Architecture With Embedded XOR Encryption.
IEEE Trans. Very Large Scale Integr. Syst., 2021

A Runtime Reconfigurable Design of Compute-in-Memory-Based Hardware Accelerator for Deep Learning Inference.
ACM Trans. Design Autom. Electr. Syst., 2021

Structured Pruning of RRAM Crossbars for Efficient In-Memory Computing Acceleration of Deep Neural Networks.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

RRAM for Compute-in-Memory: From Inference to Training.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

DNN+NeuroSim V2.0: An End-to-End Benchmarking Framework for Compute-in-Memory Accelerators for On-Chip Training.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

NeuroSim Simulator for Compute-in-Memory Hardware Accelerator: Validation and Benchmark.
Frontiers Artif. Intell., 2021

Cryogenic Performance for Compute-in-Memory Based Deep Neural Network Accelerator.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Exploiting Process Variations to Protect Machine Learning Inference Engine from Chip Cloning.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Impact of Multilevel Retention Characteristics on RRAM based DNN Inference Engine.
Proceedings of the IEEE International Reliability Physics Symposium, 2021

Compute-in-Memory: From Device Innovation to 3D System Integration.
Proceedings of the 51st IEEE European Solid-State Device Research Conference, 2021

A Runtime Reconfigurable Design of Compute-in-Memory based Hardware Accelerator.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Compute-in-RRAM with Limited On-chip Resources.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021

NeuroSim Validation with 40nm RRAM Compute-in-Memory Macro.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021

Thermal Reliability Considerations of Resistive Synaptic Devices for 3D CIM System Performance.
Proceedings of the IEEE International 3D Systems Integration Conference, 2021

2020
Benchmark of the Compute-in-Memory-Based DNN Accelerator With Area Constraint.
IEEE Trans. Very Large Scale Integr. Syst., 2020

Optimizing Weight Mapping and Data Flow for Convolutional Neural Networks on Processing-in-Memory Architectures.
IEEE Trans. Circuits Syst. I Fundam. Theory Appl., 2020

CIMAT: A Compute-In-Memory Architecture for On-chip Training Based on Transpose SRAM Arrays.
IEEE Trans. Computers, 2020

Architectural Design of 3D NAND Flash based Compute-in-Memory for Inference Engine.
Proceedings of the MEMSYS 2020: The International Symposium on Memory Systems, 2020

A Variation Robust Inference Engine Based on STT-MRAM with Parallel Read-Out.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

MINT: Mixed-Precision RRAM-Based IN-Memory Training Architecture.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

XOR-CIM: Compute-In-Memory SRAM Architecture with Embedded XOR Encryption.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Overcoming Challenges for Achieving High in-situ Training Accuracy with Emerging Memories.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

A Two-way SRAM Array based Accelerator for Deep Neural Network On-chip Training.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Compute-in-Memory with Emerging Nonvolatile-Memories: Challenges and Prospects.
Proceedings of the 2020 IEEE Custom Integrated Circuits Conference, 2020

2019
MAX<sup>2</sup>: An ReRAM-Based Neural Network Accelerator That Maximizes Data Reuse and Area Utilization.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2019

Inference engine benchmarking across technological platforms from CMOS to RRAM.
Proceedings of the International Symposium on Memory Systems, 2019

CIMAT: a transpose SRAM-based compute-in-memory architecture for deep neural network on-chip training.
Proceedings of the International Symposium on Memory Systems, 2019

Optimizing Weight Mapping and Data Flow for Convolutional Neural Networks on RRAM Based Processing-In-Memory Architecture.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

MLP+NeuroSimV3.0: Improving On-chip Learning Performance with Device to Algorithm Optimizations.
Proceedings of the International Conference on Neuromorphic Systems, 2019

Design Guidelines of RRAM based Neural-Processing-Unit: A Joint Device-Circuit-Algorithm Analysis.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
X-Point PUF: Exploiting Sneak Paths for a Strong Physical Unclonable Function Design.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

NeuroSim: A Circuit-Level Macro Model for Benchmarking Neuro-Inspired Architectures in Online Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

A Versatile ReRAM-based Accelerator for Convolutional Neural Networks.
Proceedings of the 2018 IEEE International Workshop on Signal Processing Systems, 2018

Design Considerations of Selector Device in Cross-Point RRAM Array for Neuromorphic Computing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

XNOR-RRAM: A scalable and parallel resistive synaptic architecture for binary neural networks.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Parallelizing SRAM arrays with customized bit-cell for binary neural networks.
Proceedings of the 55th Annual Design Automation Conference, 2018

Fully parallel RRAM synaptic array for implementing binary neural network with (+1, -1) weights and (+1, 0) neurons.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

Benchmark of RRAM based Architectures for Dot-Product Computation.
Proceedings of the 2018 IEEE Asia Pacific Conference on Circuits and Systems, 2018


  Loading...