Xijiang Lin

Orcid: 0000-0003-1794-3788

According to our database1, Xijiang Lin authored at least 58 papers between 1998 and 2021.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2021
Single Test Type to Replace Broadside and Skewed-Load Tests for Transition Faults.
IEEE Trans. Very Large Scale Integr. Syst., 2021

Timing Critical Path Validation for Intel ATOM Cores Using Structural Test.
Proceedings of the 39th IEEE VLSI Test Symposium, 2021

On Modeling CMOS Library Cells for Cell Internal Fault Test Pattern Generation.
Proceedings of the 30th IEEE Asian Test Symposium, 2021

2020
Test Challenges of Intel IA Cores.
Proceedings of the IEEE International Test Conference, 2020

2019
On Generating Fault Diagnosis Patterns for Designs with X Sources.
Proceedings of the 24th IEEE European Test Symposium, 2019

TEA: A Test Generation Algorithm for Designs with Timing Exceptions.
Proceedings of the 28th IEEE Asian Test Symposium, 2019

2017
On applying scan based structural test for designs with dual-edge triggered flip-flops.
Proceedings of the IEEE International Test Conference, 2017

Functional Broadside Test Generation Using a Commercial ATPG Tool.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

2016
Thermal-Aware Small-Delay Defect Testing in Integrated Circuits for Mitigating Overkill.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Transistor stuck-on fault detection tests for digital CMOS circuits.
Proceedings of the 21th IEEE European Test Symposium, 2016

On Achieving Maximal Chain Diagnosis Resolution through Test Pattern Selection.
Proceedings of the 25th IEEE Asian Test Symposium, 2016

2015
Using Boolean Tests to Improve Detection of Transistor Stuck-Open Faults in CMOS Digital Logic Circuits.
Proceedings of the 28th International Conference on VLSI Design, 2015

On generating high quality tests based on cell functions.
Proceedings of the 2015 IEEE International Test Conference, 2015

On Improving Transition Test Set Quality to Detect CMOS Transistor Stuck-Open Faults.
Proceedings of the 24th IEEE Asian Test Symposium, 2015

2014
Using dynamic shift to reduce test data volume in high-compression designs.
Proceedings of the 19th IEEE European Test Symposium, 2014

Timing-Aware ATPG.
Proceedings of the Testing for Small-Delay Defects in Nanoscale CMOS Integrated Circuits., 2014

2013
Test compaction for small-delay defects using an effective path selection scheme.
ACM Trans. Design Autom. Electr. Syst., 2013

Multicycle-aware At-speed Test Methodology.
Proceedings of the 22nd Asian Test Symposium, 2013

2012
On Utilizing Test Cube Properties to Reduce Test Data Volume Further.
Proceedings of the 21st IEEE Asian Test Symposium, 2012

Power Supply Droop and Its Impacts on Structural At-Speed Testing.
Proceedings of the 21st IEEE Asian Test Symposium, 2012

2011
Low power testing - What can commercial DFT tools provide?
Proceedings of the 2011 International Green Computing Conference and Workshops, 2011

Power Aware Embedded Test.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

2010
On Reducing Scan Shift Activity at RTL.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

Clock control architecture and ATPG for reducing pattern count in SoC designs with multiple clock domains.
Proceedings of the 2011 IEEE International Test Conference, 2010

Detecting and diagnosing open defects.
Proceedings of the 2011 IEEE International Test Conference, 2010

Low capture power at-speed test in EDT environment.
Proceedings of the 2011 IEEE International Test Conference, 2010

Adaptive Low Shift Power Test Pattern Generator for Logic BIST.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

2009
Low-Power Scan Operation in Test Compression Environment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Test Generation for Designs with On-Chip Clock Generators.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

2008
Scan Shift Power Reduction by Freezing Power Sensitive Scan Cells.
J. Electron. Test., 2008

Reducing Scan Shift Power at RTL.
Proceedings of the 26th IEEE VLSI Test Symposium (VTS 2008), April 27, 2008

Test Generation for Interconnect Opens.
Proceedings of the 2008 IEEE International Test Conference, 2008

Low Power Scan Shift and Capture in the EDT Environment.
Proceedings of the 2008 IEEE International Test Conference, 2008

Test Power Reduction by Blocking Scan Cell Outputs.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

2007
Scan-Based Tests with Low Switching Activity.
IEEE Des. Test Comput., 2007

Low Shift and Capture Power Scan Tests.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Test Generation for Timing-Critical Transition Faults.
Proceedings of the 16th Asian Test Symposium, 2007

Programmable Logic BIST for At-speed Test.
Proceedings of the 16th Asian Test Symposium, 2007

2006
Scan Tests with Multiple Fault Activation Cycles for Delay Faults.
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006

The Impacts of Untestable Defects on Transition Fault Testing.
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006

Preferred Fill: A Scalable Method to Reduce Capture Power for Scan Based Designs.
Proceedings of the 2006 IEEE International Test Conference, 2006

Timing-Aware ATPG for High Quality At-speed Testing of Small Delay Defects.
Proceedings of the 15th Asian Test Symposium, 2006

2005
Measures to Improve Delay Fault Testing on Low-Cost Testers - A Case Study.
Proceedings of the 23rd IEEE VLSI Test Symposium (VTS 2005), 2005

Logic Design for On-Chip Test Clock Generation - Implementation Details and Impact on Delay Test Quality.
Proceedings of the 2005 Design, 2005

Propagation delay fault: a new fault model to test delay faults.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2003
High-Frequency, At-Speed Scan Testing.
IEEE Des. Test Comput., 2003

Test generation for designs with multiple clocks.
Proceedings of the 40th Design Automation Conference, 2003

2002
Novel Techniques for Achieving High At-Speed Transition Fault Test Coverage for Motorola's Microprocessors Based on PowerPC(tm) Instruction Set Architecture.
Proceedings of the 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April, 2002

Conflict driven techniques for improving deterministic test pattern generation.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

2001
On static test compaction and test pattern ordering for scan designs.
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001

Experimental Results of Forward-Looking Reverse Order Fault Simulation on Industrial Circuits with Scan.
Proceedings of the 10th Asian Test Symposium (ATS 2001), 19-21 November 2001, Kyoto, Japan, 2001

2000
SIFAR: Static Test Compaction for Synchronous Sequential Circuits Based on Single Fault Restoration.
Proceedings of the 18th IEEE VLSI Test Symposium (VTS 2000), 30 April, 2000

1999
Procedures for Identifying Undetectable and Redundant Faults In Synchronous Sequential Circuits.
Proceedings of the 17th IEEE VLSI Test Symposium (VTS '99), 1999

Techniques for improving the efficiency of sequential circuit test generation.
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999

Full Scan Fault Coverage With Partial Scan.
Proceedings of the 1999 Design, 1999

1998
On Removing Redundant Faults in Synchronous Sequential Circuits.
Proceedings of the 16th IEEE VLSI Test Symposium (VTS '98), 28 April, 1998

MIX: A Test Generation System for Synchronous Sequential Circuits.
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998

On finding undetectable and redundant faults in synchronous sequential circuits.
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998


  Loading...